OpenCores
URL https://opencores.org/ocsvn/complexise/complexise/trunk

Subversion Repositories complexise

[/] [complexise/] [trunk/] [CI_ALTFP_DIV.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 iloveliora
-- megafunction wizard: %ALTFP_DIV%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altfp_div 
5
 
6
-- ============================================================
7
-- File Name: CI_ALTFP_DIV.vhd
8
-- Megafunction Name(s):
9
--                      altfp_div
10
--
11
-- Simulation Library Files(s):
12
--                      
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2009 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
--altfp_div CBX_AUTO_BLACKBOX="ALL" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Stratix" OPTIMIZE="AREA" PIPELINE=6 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 aclr clk_en clock dataa datab division_by_zero nan overflow result underflow zero
37
--VERSION_BEGIN 9.0SP2 cbx_altbarrel_shift 2008:08:28:01:40:10:SJ cbx_altfp_div 2008:08:12:00:28:41:SJ cbx_altsyncram 2009:05:19:16:53:16:SJ cbx_cycloneii 2008:05:19:10:57:37:SJ cbx_lpm_abs 2008:05:19:10:51:43:SJ cbx_lpm_add_sub 2009:05:07:10:25:28:SJ cbx_lpm_compare 2009:02:03:01:43:16:SJ cbx_lpm_decode 2008:05:19:10:39:27:SJ cbx_lpm_divide 2008:05:21:18:11:28:SJ cbx_lpm_mult 2008:09:30:18:36:56:SJ cbx_lpm_mux 2009:03:31:01:01:28:SJ cbx_mgl 2009:02:26:16:06:21:SJ cbx_padd 2008:09:04:11:11:31:SJ cbx_stratix 2008:09:18:16:08:35:SJ cbx_stratixii 2008:11:14:16:08:42:SJ cbx_stratixiii 2009:05:12:13:36:56:SJ cbx_util_mgl 2008:11:21:14:58:47:SJ  VERSION_END
38
 
39
 
40
--altfp_div_pst CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Stratix" FILE_NAME="CI_ALTFP_DIV.vhd:a" WIDTH_EXP=8 WIDTH_MAN=23 aclr clk_en clock dataa datab division_by_zero nan overflow result underflow zero
41
--VERSION_BEGIN 9.0SP2 cbx_altbarrel_shift 2008:08:28:01:40:10:SJ cbx_altfp_div 2008:08:12:00:28:41:SJ cbx_altsyncram 2009:05:19:16:53:16:SJ cbx_cycloneii 2008:05:19:10:57:37:SJ cbx_lpm_abs 2008:05:19:10:51:43:SJ cbx_lpm_add_sub 2009:05:07:10:25:28:SJ cbx_lpm_compare 2009:02:03:01:43:16:SJ cbx_lpm_decode 2008:05:19:10:39:27:SJ cbx_lpm_divide 2008:05:21:18:11:28:SJ cbx_lpm_mult 2008:09:30:18:36:56:SJ cbx_lpm_mux 2009:03:31:01:01:28:SJ cbx_mgl 2009:02:26:16:06:21:SJ cbx_padd 2008:09:04:11:11:31:SJ cbx_stratix 2008:09:18:16:08:35:SJ cbx_stratixii 2008:11:14:16:08:42:SJ cbx_stratixiii 2009:05:12:13:36:56:SJ cbx_util_mgl 2008:11:21:14:58:47:SJ  VERSION_END
42
 
43
 LIBRARY altera_mf;
44
 USE altera_mf.all;
45
 
46
 LIBRARY lpm;
47
 USE lpm.all;
48
 
49
--synthesis_resources = altsyncram 1 lpm_add_sub 4 lpm_compare 1 lpm_mult 5 lut 352 mux21 74 
50
 LIBRARY ieee;
51
 USE ieee.std_logic_1164.all;
52
 
53
 ENTITY  CI_ALTFP_DIV_altfp_div_pst_7ji IS
54
         PORT
55
         (
56
                 aclr   :       IN  STD_LOGIC := '0';
57
                 clk_en :       IN  STD_LOGIC := '1';
58
                 clock  :       IN  STD_LOGIC;
59
                 dataa  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
60
                 datab  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
61
                 division_by_zero       :       OUT  STD_LOGIC;
62
                 nan    :       OUT  STD_LOGIC;
63
                 overflow       :       OUT  STD_LOGIC;
64
                 result :       OUT  STD_LOGIC_VECTOR (31 DOWNTO 0);
65
                 underflow      :       OUT  STD_LOGIC;
66
                 zero   :       OUT  STD_LOGIC
67
         );
68
 END CI_ALTFP_DIV_altfp_div_pst_7ji;
69
 
70
 ARCHITECTURE RTL OF CI_ALTFP_DIV_altfp_div_pst_7ji IS
71
 
72
         SIGNAL  wire_altsyncram3_q_a   :       STD_LOGIC_VECTOR (8 DOWNTO 0);
73
         SIGNAL  a_is_infinity_dffe_0   :       STD_LOGIC
74
         -- synopsys translate_off
75
          := '0'
76
         -- synopsys translate_on
77
         ;
78
         SIGNAL  a_is_infinity_dffe_1   :       STD_LOGIC
79
         -- synopsys translate_off
80
          := '0'
81
         -- synopsys translate_on
82
         ;
83
         SIGNAL  wire_a_is_infinity_dffe_1_w_lg_q318w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
84
         SIGNAL  a_is_infinity_dffe_2   :       STD_LOGIC
85
         -- synopsys translate_off
86
          := '0'
87
         -- synopsys translate_on
88
         ;
89
         SIGNAL  a_is_infinity_dffe_3   :       STD_LOGIC
90
         -- synopsys translate_off
91
          := '0'
92
         -- synopsys translate_on
93
         ;
94
         SIGNAL  a_is_infinity_dffe_4   :       STD_LOGIC
95
         -- synopsys translate_off
96
          := '0'
97
         -- synopsys translate_on
98
         ;
99
         SIGNAL  wire_a_is_infinity_dffe_4_w_lg_q437w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
100
         SIGNAL  a_zero_b_not_dffe_0    :       STD_LOGIC
101
         -- synopsys translate_off
102
          := '0'
103
         -- synopsys translate_on
104
         ;
105
         SIGNAL  a_zero_b_not_dffe_1    :       STD_LOGIC
106
         -- synopsys translate_off
107
          := '0'
108
         -- synopsys translate_on
109
         ;
110
         SIGNAL  wire_a_zero_b_not_dffe_1_w_lg_q326w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
111
         SIGNAL  a_zero_b_not_dffe_2    :       STD_LOGIC
112
         -- synopsys translate_off
113
          := '0'
114
         -- synopsys translate_on
115
         ;
116
         SIGNAL  a_zero_b_not_dffe_3    :       STD_LOGIC
117
         -- synopsys translate_off
118
          := '0'
119
         -- synopsys translate_on
120
         ;
121
         SIGNAL  a_zero_b_not_dffe_4    :       STD_LOGIC
122
         -- synopsys translate_off
123
          := '0'
124
         -- synopsys translate_on
125
         ;
126
         SIGNAL  b1_dffe_0      :       STD_LOGIC_VECTOR(33 DOWNTO 0)
127
         -- synopsys translate_off
128
          := (OTHERS => '0')
129
         -- synopsys translate_on
130
         ;
131
         SIGNAL  b_is_infinity_dffe_0   :       STD_LOGIC
132
         -- synopsys translate_off
133
          := '0'
134
         -- synopsys translate_on
135
         ;
136
         SIGNAL  b_is_infinity_dffe_1   :       STD_LOGIC
137
         -- synopsys translate_off
138
          := '0'
139
         -- synopsys translate_on
140
         ;
141
         SIGNAL  wire_b_is_infinity_dffe_1_w_lg_q325w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
142
         SIGNAL  b_is_infinity_dffe_2   :       STD_LOGIC
143
         -- synopsys translate_off
144
          := '0'
145
         -- synopsys translate_on
146
         ;
147
         SIGNAL  b_is_infinity_dffe_3   :       STD_LOGIC
148
         -- synopsys translate_off
149
          := '0'
150
         -- synopsys translate_on
151
         ;
152
         SIGNAL  b_is_infinity_dffe_4   :       STD_LOGIC
153
         -- synopsys translate_off
154
          := '0'
155
         -- synopsys translate_on
156
         ;
157
         SIGNAL  wire_b_is_infinity_dffe_4_w_lg_q438w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
158
         SIGNAL  both_exp_zeros_dffe    :       STD_LOGIC
159
         -- synopsys translate_off
160
          := '0'
161
         -- synopsys translate_on
162
         ;
163
         SIGNAL  divbyzero_pipe_dffe_0  :       STD_LOGIC
164
         -- synopsys translate_off
165
          := '0'
166
         -- synopsys translate_on
167
         ;
168
         SIGNAL  divbyzero_pipe_dffe_1  :       STD_LOGIC
169
         -- synopsys translate_off
170
          := '0'
171
         -- synopsys translate_on
172
         ;
173
         SIGNAL  wire_divbyzero_pipe_dffe_1_w_lg_q317w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
174
         SIGNAL  divbyzero_pipe_dffe_2  :       STD_LOGIC
175
         -- synopsys translate_off
176
          := '0'
177
         -- synopsys translate_on
178
         ;
179
         SIGNAL  divbyzero_pipe_dffe_3  :       STD_LOGIC
180
         -- synopsys translate_off
181
          := '0'
182
         -- synopsys translate_on
183
         ;
184
         SIGNAL  divbyzero_pipe_dffe_4  :       STD_LOGIC
185
         -- synopsys translate_off
186
          := '0'
187
         -- synopsys translate_on
188
         ;
189
         SIGNAL  divbyzero_pipe_dffe_5  :       STD_LOGIC
190
         -- synopsys translate_off
191
          := '0'
192
         -- synopsys translate_on
193
         ;
194
         SIGNAL  e1_dffe_0      :       STD_LOGIC_VECTOR(16 DOWNTO 0)
195
         -- synopsys translate_off
196
          := (OTHERS => '0')
197
         -- synopsys translate_on
198
         ;
199
         SIGNAL  e1_dffe_1      :       STD_LOGIC_VECTOR(16 DOWNTO 0)
200
         -- synopsys translate_off
201
          := (OTHERS => '0')
202
         -- synopsys translate_on
203
         ;
204
         SIGNAL  exp_result_dffe_0      :       STD_LOGIC_VECTOR(7 DOWNTO 0)
205
         -- synopsys translate_off
206
          := (OTHERS => '0')
207
         -- synopsys translate_on
208
         ;
209
         SIGNAL  exp_result_dffe_1      :       STD_LOGIC_VECTOR(7 DOWNTO 0)
210
         -- synopsys translate_off
211
          := (OTHERS => '0')
212
         -- synopsys translate_on
213
         ;
214
         SIGNAL  exp_result_dffe_2      :       STD_LOGIC_VECTOR(7 DOWNTO 0)
215
         -- synopsys translate_off
216
          := (OTHERS => '0')
217
         -- synopsys translate_on
218
         ;
219
         SIGNAL  exp_result_dffe_3      :       STD_LOGIC_VECTOR(7 DOWNTO 0)
220
         -- synopsys translate_off
221
          := (OTHERS => '0')
222
         -- synopsys translate_on
223
         ;
224
         SIGNAL  frac_a_smaller_dffe1   :       STD_LOGIC
225
         -- synopsys translate_off
226
          := '0'
227
         -- synopsys translate_on
228
         ;
229
         SIGNAL  man_a_dffe1_dffe1      :       STD_LOGIC_VECTOR(22 DOWNTO 0)
230
         -- synopsys translate_off
231
          := (OTHERS => '0')
232
         -- synopsys translate_on
233
         ;
234
         SIGNAL  man_b_dffe1_dffe1      :       STD_LOGIC_VECTOR(22 DOWNTO 0)
235
         -- synopsys translate_off
236
          := (OTHERS => '0')
237
         -- synopsys translate_on
238
         ;
239
         SIGNAL  man_result_dffe        :       STD_LOGIC_VECTOR(22 DOWNTO 0)
240
         -- synopsys translate_off
241
          := (OTHERS => '0')
242
         -- synopsys translate_on
243
         ;
244
         SIGNAL  nan_pipe_dffe_0        :       STD_LOGIC
245
         -- synopsys translate_off
246
          := '0'
247
         -- synopsys translate_on
248
         ;
249
         SIGNAL  nan_pipe_dffe_1        :       STD_LOGIC
250
         -- synopsys translate_off
251
          := '0'
252
         -- synopsys translate_on
253
         ;
254
         SIGNAL  wire_nan_pipe_dffe_1_w_lg_q308w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
255
         SIGNAL  nan_pipe_dffe_2        :       STD_LOGIC
256
         -- synopsys translate_off
257
          := '0'
258
         -- synopsys translate_on
259
         ;
260
         SIGNAL  nan_pipe_dffe_3        :       STD_LOGIC
261
         -- synopsys translate_off
262
          := '0'
263
         -- synopsys translate_on
264
         ;
265
         SIGNAL  nan_pipe_dffe_4        :       STD_LOGIC
266
         -- synopsys translate_off
267
          := '0'
268
         -- synopsys translate_on
269
         ;
270
         SIGNAL  wire_nan_pipe_dffe_4_w_lg_q436w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
271
         SIGNAL  nan_pipe_dffe_5        :       STD_LOGIC
272
         -- synopsys translate_off
273
          := '0'
274
         -- synopsys translate_on
275
         ;
276
         SIGNAL  overflow_dffe_0        :       STD_LOGIC
277
         -- synopsys translate_off
278
          := '0'
279
         -- synopsys translate_on
280
         ;
281
         SIGNAL  overflow_dffe_1        :       STD_LOGIC
282
         -- synopsys translate_off
283
          := '0'
284
         -- synopsys translate_on
285
         ;
286
         SIGNAL  overflow_dffe_2        :       STD_LOGIC
287
         -- synopsys translate_off
288
          := '0'
289
         -- synopsys translate_on
290
         ;
291
         SIGNAL  overflow_dffe_3        :       STD_LOGIC
292
         -- synopsys translate_off
293
          := '0'
294
         -- synopsys translate_on
295
         ;
296
         SIGNAL  quotient_j_dffe        :       STD_LOGIC_VECTOR(16 DOWNTO 0)
297
         -- synopsys translate_off
298
          := (OTHERS => '0')
299
         -- synopsys translate_on
300
         ;
301
         SIGNAL  quotient_k_dffe_0      :       STD_LOGIC_VECTOR(16 DOWNTO 0)
302
         -- synopsys translate_off
303
          := (OTHERS => '0')
304
         -- synopsys translate_on
305
         ;
306
         SIGNAL  remainder_j_dffe_0     :       STD_LOGIC_VECTOR(49 DOWNTO 0)
307
         -- synopsys translate_off
308
          := (OTHERS => '0')
309
         -- synopsys translate_on
310
         ;
311
         SIGNAL  remainder_j_dffe_1     :       STD_LOGIC_VECTOR(49 DOWNTO 0)
312
         -- synopsys translate_off
313
          := (OTHERS => '0')
314
         -- synopsys translate_on
315
         ;
316
         SIGNAL  sign_pipe_dffe_0       :       STD_LOGIC
317
         -- synopsys translate_off
318
          := '0'
319
         -- synopsys translate_on
320
         ;
321
         SIGNAL  sign_pipe_dffe_1       :       STD_LOGIC
322
         -- synopsys translate_off
323
          := '0'
324
         -- synopsys translate_on
325
         ;
326
         SIGNAL  sign_pipe_dffe_2       :       STD_LOGIC
327
         -- synopsys translate_off
328
          := '0'
329
         -- synopsys translate_on
330
         ;
331
         SIGNAL  sign_pipe_dffe_3       :       STD_LOGIC
332
         -- synopsys translate_off
333
          := '0'
334
         -- synopsys translate_on
335
         ;
336
         SIGNAL  sign_pipe_dffe_4       :       STD_LOGIC
337
         -- synopsys translate_off
338
          := '0'
339
         -- synopsys translate_on
340
         ;
341
         SIGNAL  sign_pipe_dffe_5       :       STD_LOGIC
342
         -- synopsys translate_off
343
          := '0'
344
         -- synopsys translate_on
345
         ;
346
         SIGNAL  underflow_dffe_0       :       STD_LOGIC
347
         -- synopsys translate_off
348
          := '0'
349
         -- synopsys translate_on
350
         ;
351
         SIGNAL  underflow_dffe_1       :       STD_LOGIC
352
         -- synopsys translate_off
353
          := '0'
354
         -- synopsys translate_on
355
         ;
356
         SIGNAL  underflow_dffe_2       :       STD_LOGIC
357
         -- synopsys translate_off
358
          := '0'
359
         -- synopsys translate_on
360
         ;
361
         SIGNAL  underflow_dffe_3       :       STD_LOGIC
362
         -- synopsys translate_off
363
          := '0'
364
         -- synopsys translate_on
365
         ;
366
         SIGNAL  zero_dffe      :       STD_LOGIC
367
         -- synopsys translate_off
368
          := '0'
369
         -- synopsys translate_on
370
         ;
371
         SIGNAL  zero_pipe_dffe_0       :       STD_LOGIC
372
         -- synopsys translate_off
373
          := '0'
374
         -- synopsys translate_on
375
         ;
376
         SIGNAL  zero_pipe_dffe_1       :       STD_LOGIC
377
         -- synopsys translate_off
378
          := '0'
379
         -- synopsys translate_on
380
         ;
381
         SIGNAL  zero_pipe_dffe_2       :       STD_LOGIC
382
         -- synopsys translate_off
383
          := '0'
384
         -- synopsys translate_on
385
         ;
386
         SIGNAL  zero_pipe_dffe_3       :       STD_LOGIC
387
         -- synopsys translate_off
388
          := '0'
389
         -- synopsys translate_on
390
         ;
391
         SIGNAL  zero_pipe_dffe_4       :       STD_LOGIC
392
         -- synopsys translate_off
393
          := '0'
394
         -- synopsys translate_on
395
         ;
396
         SIGNAL  wire_bias_addition_overflow    :       STD_LOGIC;
397
         SIGNAL  wire_bias_addition_result      :       STD_LOGIC_VECTOR (8 DOWNTO 0);
398
         SIGNAL  wire_exp_sub_result    :       STD_LOGIC_VECTOR (8 DOWNTO 0);
399
         SIGNAL  wire_quotient_process_dataa    :       STD_LOGIC_VECTOR (30 DOWNTO 0);
400
         SIGNAL  wire_quotient_process_datab    :       STD_LOGIC_VECTOR (30 DOWNTO 0);
401
         SIGNAL  wire_quotient_process_result   :       STD_LOGIC_VECTOR (30 DOWNTO 0);
402
         SIGNAL  wire_quotient_process_w_result_range424w       :       STD_LOGIC_VECTOR (22 DOWNTO 0);
403
         SIGNAL  wire_remainder_sub_0_dataa     :       STD_LOGIC_VECTOR (49 DOWNTO 0);
404
         SIGNAL  wire_remainder_sub_0_result    :       STD_LOGIC_VECTOR (49 DOWNTO 0);
405
         SIGNAL  wire_cmpr2_alb :       STD_LOGIC;
406
         SIGNAL  wire_a1_prod_datab     :       STD_LOGIC_VECTOR (9 DOWNTO 0);
407
         SIGNAL  wire_a1_prod_result    :       STD_LOGIC_VECTOR (34 DOWNTO 0);
408
         SIGNAL  wire_b1_prod_w_lg_w_result_range358w359w       :       STD_LOGIC_VECTOR (16 DOWNTO 0);
409
         SIGNAL  wire_b1_prod_datab     :       STD_LOGIC_VECTOR (9 DOWNTO 0);
410
         SIGNAL  wire_b1_prod_result    :       STD_LOGIC_VECTOR (33 DOWNTO 0);
411
         SIGNAL  wire_b1_prod_w_result_range358w        :       STD_LOGIC_VECTOR (16 DOWNTO 0);
412
         SIGNAL  wire_q_partial_0_result        :       STD_LOGIC_VECTOR (33 DOWNTO 0);
413
         SIGNAL  wire_q_partial_0_w_result_range372w    :       STD_LOGIC_VECTOR (16 DOWNTO 0);
414
         SIGNAL  wire_q_partial_1_w_lg_w_result_range406w408w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
415
         SIGNAL  wire_q_partial_1_w_lg_w_result_range409w411w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
416
         SIGNAL  wire_q_partial_1_w_lg_w_result_range412w414w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
417
         SIGNAL  wire_q_partial_1_w_lg_w_result_range415w417w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
418
         SIGNAL  wire_q_partial_1_result        :       STD_LOGIC_VECTOR (33 DOWNTO 0);
419
         SIGNAL  wire_q_partial_1_w_result_range406w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
420
         SIGNAL  wire_q_partial_1_w_result_range409w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
421
         SIGNAL  wire_q_partial_1_w_result_range412w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
422
         SIGNAL  wire_q_partial_1_w_result_range415w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
423
         SIGNAL  wire_remainder_mult_0_result   :       STD_LOGIC_VECTOR (50 DOWNTO 0);
424
         SIGNAL wire_exp_result_muxa_dataout    :       STD_LOGIC_VECTOR(7 DOWNTO 0);
425
         SIGNAL wire_man_a_adjusteda_dataout    :       STD_LOGIC_VECTOR(24 DOWNTO 0);
426
         SIGNAL wire_man_result_muxa_dataout    :       STD_LOGIC_VECTOR(22 DOWNTO 0);
427
         SIGNAL wire_select_bias_2a_dataout     :       STD_LOGIC_VECTOR(8 DOWNTO 0);
428
         SIGNAL wire_select_biasa_dataout       :       STD_LOGIC_VECTOR(8 DOWNTO 0);
429
         SIGNAL  wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w304w305w306w   :       STD_LOGIC_VECTOR (7 DOWNTO 0);
430
         SIGNAL  wire_altfp_div_pst1_w322w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
431
         SIGNAL  wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w305w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
432
         SIGNAL  wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w312w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
433
         SIGNAL  wire_altfp_div_pst1_w302w      :       STD_LOGIC_VECTOR (7 DOWNTO 0);
434
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w286w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
435
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w288w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
436
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w290w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
437
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w292w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
438
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w294w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
439
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w296w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
440
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w298w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
441
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range11w18w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
442
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range21w28w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
443
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range31w38w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
444
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range41w48w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
445
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range51w58w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
446
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range61w68w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
447
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range71w78w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
448
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range14w20w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
449
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range24w30w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
450
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range34w40w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
451
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range44w50w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
452
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range54w60w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
453
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range64w70w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
454
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range74w80w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
455
         SIGNAL  wire_altfp_div_pst1_w_lg_w_exp_a_all_one_w_range77w222w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
456
         SIGNAL  wire_altfp_div_pst1_w_lg_w_exp_add_output_all_one_range297w321w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
457
         SIGNAL  wire_altfp_div_pst1_w_lg_w_exp_b_all_one_w_range79w224w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
458
         SIGNAL  wire_altfp_div_pst1_w_lg_w_exp_b_not_zero_w_range75w256w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
459
         SIGNAL  wire_altfp_div_pst1_w_lg_a_is_infinity_w233w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
460
         SIGNAL  wire_altfp_div_pst1_w_lg_a_is_nan_w234w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
461
         SIGNAL  wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
462
         SIGNAL  wire_altfp_div_pst1_w_lg_exp_sign_w303w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
463
         SIGNAL  wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
464
         SIGNAL  wire_altfp_div_pst1_w_lg_w_man_a_not_zero_w_range214w221w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
465
         SIGNAL  wire_altfp_div_pst1_w_lg_w_man_b_not_zero_w_range217w223w      :       STD_LOGIC_VECTOR (0 DOWNTO 0);
466
         SIGNAL  wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w299w300w301w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
467
         SIGNAL  wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w299w300w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
468
         SIGNAL  wire_altfp_div_pst1_w_lg_bias_addition_overf_w323w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
469
         SIGNAL  wire_altfp_div_pst1_w_lg_bias_addition_overf_w299w     :       STD_LOGIC_VECTOR (0 DOWNTO 0);
470
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w264w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
471
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w267w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
472
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w270w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
473
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w273w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
474
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w276w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
475
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w279w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
476
         SIGNAL  wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w282w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
477
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range141w143w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
478
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range147w149w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
479
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range153w155w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
480
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range159w161w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
481
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range165w167w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
482
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range171w173w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
483
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range177w179w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
484
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range183w185w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
485
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range189w191w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
486
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range195w197w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
487
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range87w89w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
488
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range201w203w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
489
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range207w209w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
490
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range213w215w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
491
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range11w13w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
492
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range21w23w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
493
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range31w33w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
494
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range41w43w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
495
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range51w53w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
496
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range61w63w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
497
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range93w95w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
498
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range71w73w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
499
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range99w101w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
500
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range105w107w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
501
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range111w113w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
502
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range117w119w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
503
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range123w125w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
504
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range129w131w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
505
         SIGNAL  wire_altfp_div_pst1_w_lg_w_dataa_range135w137w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
506
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range144w146w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
507
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range150w152w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
508
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range156w158w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
509
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range162w164w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
510
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range168w170w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
511
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range174w176w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
512
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range180w182w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
513
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range186w188w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
514
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range192w194w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
515
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range198w200w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
516
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range90w92w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
517
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range204w206w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
518
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range210w212w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
519
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range216w218w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
520
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range14w16w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
521
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range24w26w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
522
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range34w36w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
523
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range44w46w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
524
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range54w56w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
525
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range64w66w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
526
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range96w98w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
527
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range74w76w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
528
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range102w104w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
529
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range108w110w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
530
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range114w116w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
531
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range120w122w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
532
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range126w128w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
533
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range132w134w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
534
         SIGNAL  wire_altfp_div_pst1_w_lg_w_datab_range138w140w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
535
         SIGNAL  a_is_infinity_w :      STD_LOGIC;
536
         SIGNAL  a_is_nan_w :   STD_LOGIC;
537
         SIGNAL  a_zero_b_not : STD_LOGIC;
538
         SIGNAL  b1_dffe_w :    STD_LOGIC_VECTOR (33 DOWNTO 0);
539
         SIGNAL  b_is_infinity_w :      STD_LOGIC;
540
         SIGNAL  b_is_nan_w :   STD_LOGIC;
541
         SIGNAL  bias_addition_overf_w :        STD_LOGIC;
542
         SIGNAL  bias_addition_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
543
         SIGNAL  both_exp_zeros :       STD_LOGIC;
544
         SIGNAL  e0_dffe1_wo :  STD_LOGIC_VECTOR (8 DOWNTO 0);
545
         SIGNAL  e0_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
546
         SIGNAL  e1_w : STD_LOGIC_VECTOR (50 DOWNTO 0);
547
         SIGNAL  exp_a_all_one_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
548
         SIGNAL  exp_a_not_zero_w :     STD_LOGIC_VECTOR (7 DOWNTO 0);
549
         SIGNAL  exp_add_output_all_one :       STD_LOGIC_VECTOR (7 DOWNTO 0);
550
         SIGNAL  exp_add_output_not_zero :      STD_LOGIC_VECTOR (7 DOWNTO 0);
551
         SIGNAL  exp_b_all_one_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
552
         SIGNAL  exp_b_not_zero_w :     STD_LOGIC_VECTOR (7 DOWNTO 0);
553
         SIGNAL  exp_result_mux_out :   STD_LOGIC_VECTOR (7 DOWNTO 0);
554
         SIGNAL  exp_result_mux_sel_w : STD_LOGIC;
555
         SIGNAL  exp_result_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
556
         SIGNAL  exp_sign_w :   STD_LOGIC;
557
         SIGNAL  exp_sub_a_w :  STD_LOGIC_VECTOR (8 DOWNTO 0);
558
         SIGNAL  exp_sub_b_w :  STD_LOGIC_VECTOR (8 DOWNTO 0);
559
         SIGNAL  exp_sub_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
560
         SIGNAL  frac_a_smaller_dffe1_wi :      STD_LOGIC;
561
         SIGNAL  frac_a_smaller_dffe1_wo :      STD_LOGIC;
562
         SIGNAL  frac_a_smaller_w :     STD_LOGIC;
563
         SIGNAL  guard_bit :    STD_LOGIC;
564
         SIGNAL  man_a_adjusted_w :     STD_LOGIC_VECTOR (24 DOWNTO 0);
565
         SIGNAL  man_a_dffe1_wi :       STD_LOGIC_VECTOR (22 DOWNTO 0);
566
         SIGNAL  man_a_dffe1_wo :       STD_LOGIC_VECTOR (22 DOWNTO 0);
567
         SIGNAL  man_a_not_zero_w :     STD_LOGIC_VECTOR (22 DOWNTO 0);
568
         SIGNAL  man_b_adjusted_w :     STD_LOGIC_VECTOR (23 DOWNTO 0);
569
         SIGNAL  man_b_dffe1_wi :       STD_LOGIC_VECTOR (22 DOWNTO 0);
570
         SIGNAL  man_b_dffe1_wo :       STD_LOGIC_VECTOR (22 DOWNTO 0);
571
         SIGNAL  man_b_not_zero_w :     STD_LOGIC_VECTOR (22 DOWNTO 0);
572
         SIGNAL  man_result_dffe_wi :   STD_LOGIC_VECTOR (22 DOWNTO 0);
573
         SIGNAL  man_result_dffe_wo :   STD_LOGIC_VECTOR (22 DOWNTO 0);
574
         SIGNAL  man_result_mux_select :        STD_LOGIC;
575
         SIGNAL  man_result_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
576
         SIGNAL  man_zeros_w :  STD_LOGIC_VECTOR (22 DOWNTO 0);
577
         SIGNAL  overflow_ones_w :      STD_LOGIC_VECTOR (7 DOWNTO 0);
578
         SIGNAL  overflow_w :   STD_LOGIC;
579
         SIGNAL  quotient_accumulate_w :        STD_LOGIC_VECTOR (61 DOWNTO 0);
580
         SIGNAL  quotient_process_cin_w :       STD_LOGIC;
581
         SIGNAL  remainder_j_w :        STD_LOGIC_VECTOR (99 DOWNTO 0);
582
         SIGNAL  round_bit :    STD_LOGIC;
583
         SIGNAL  select_bias_out_2_w :  STD_LOGIC_VECTOR (8 DOWNTO 0);
584
         SIGNAL  select_bias_out_w :    STD_LOGIC_VECTOR (8 DOWNTO 0);
585
         SIGNAL  sticky_bits :  STD_LOGIC_VECTOR (4 DOWNTO 0);
586
         SIGNAL  underflow_w :  STD_LOGIC;
587
         SIGNAL  underflow_zeros_w :    STD_LOGIC_VECTOR (7 DOWNTO 0);
588
         SIGNAL  value_add_one_w :      STD_LOGIC_VECTOR (8 DOWNTO 0);
589
         SIGNAL  value_normal_w :       STD_LOGIC_VECTOR (8 DOWNTO 0);
590
         SIGNAL  value_zero_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
591
         SIGNAL  zero_dffe_wi : STD_LOGIC;
592
         SIGNAL  zero_dffe_wo : STD_LOGIC;
593
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range262w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
594
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range265w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
595
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range268w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
596
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range271w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
597
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range274w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
598
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range277w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
599
         SIGNAL  wire_altfp_div_pst1_w_bias_addition_w_range280w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
600
         SIGNAL  wire_altfp_div_pst1_w_dataa_range141w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
601
         SIGNAL  wire_altfp_div_pst1_w_dataa_range147w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
602
         SIGNAL  wire_altfp_div_pst1_w_dataa_range153w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
603
         SIGNAL  wire_altfp_div_pst1_w_dataa_range159w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
604
         SIGNAL  wire_altfp_div_pst1_w_dataa_range165w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
605
         SIGNAL  wire_altfp_div_pst1_w_dataa_range171w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
606
         SIGNAL  wire_altfp_div_pst1_w_dataa_range177w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
607
         SIGNAL  wire_altfp_div_pst1_w_dataa_range183w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
608
         SIGNAL  wire_altfp_div_pst1_w_dataa_range189w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
609
         SIGNAL  wire_altfp_div_pst1_w_dataa_range195w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
610
         SIGNAL  wire_altfp_div_pst1_w_dataa_range87w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
611
         SIGNAL  wire_altfp_div_pst1_w_dataa_range201w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
612
         SIGNAL  wire_altfp_div_pst1_w_dataa_range207w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
613
         SIGNAL  wire_altfp_div_pst1_w_dataa_range213w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
614
         SIGNAL  wire_altfp_div_pst1_w_dataa_range11w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
615
         SIGNAL  wire_altfp_div_pst1_w_dataa_range21w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
616
         SIGNAL  wire_altfp_div_pst1_w_dataa_range31w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
617
         SIGNAL  wire_altfp_div_pst1_w_dataa_range41w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
618
         SIGNAL  wire_altfp_div_pst1_w_dataa_range51w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
619
         SIGNAL  wire_altfp_div_pst1_w_dataa_range61w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
620
         SIGNAL  wire_altfp_div_pst1_w_dataa_range93w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
621
         SIGNAL  wire_altfp_div_pst1_w_dataa_range71w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
622
         SIGNAL  wire_altfp_div_pst1_w_dataa_range99w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
623
         SIGNAL  wire_altfp_div_pst1_w_dataa_range105w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
624
         SIGNAL  wire_altfp_div_pst1_w_dataa_range111w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
625
         SIGNAL  wire_altfp_div_pst1_w_dataa_range117w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
626
         SIGNAL  wire_altfp_div_pst1_w_dataa_range123w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
627
         SIGNAL  wire_altfp_div_pst1_w_dataa_range129w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
628
         SIGNAL  wire_altfp_div_pst1_w_dataa_range135w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
629
         SIGNAL  wire_altfp_div_pst1_w_datab_range144w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
630
         SIGNAL  wire_altfp_div_pst1_w_datab_range150w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
631
         SIGNAL  wire_altfp_div_pst1_w_datab_range156w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
632
         SIGNAL  wire_altfp_div_pst1_w_datab_range162w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
633
         SIGNAL  wire_altfp_div_pst1_w_datab_range168w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
634
         SIGNAL  wire_altfp_div_pst1_w_datab_range174w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
635
         SIGNAL  wire_altfp_div_pst1_w_datab_range180w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
636
         SIGNAL  wire_altfp_div_pst1_w_datab_range186w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
637
         SIGNAL  wire_altfp_div_pst1_w_datab_range192w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
638
         SIGNAL  wire_altfp_div_pst1_w_datab_range198w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
639
         SIGNAL  wire_altfp_div_pst1_w_datab_range90w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
640
         SIGNAL  wire_altfp_div_pst1_w_datab_range204w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
641
         SIGNAL  wire_altfp_div_pst1_w_datab_range210w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
642
         SIGNAL  wire_altfp_div_pst1_w_datab_range216w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
643
         SIGNAL  wire_altfp_div_pst1_w_datab_range14w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
644
         SIGNAL  wire_altfp_div_pst1_w_datab_range24w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
645
         SIGNAL  wire_altfp_div_pst1_w_datab_range34w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
646
         SIGNAL  wire_altfp_div_pst1_w_datab_range44w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
647
         SIGNAL  wire_altfp_div_pst1_w_datab_range54w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
648
         SIGNAL  wire_altfp_div_pst1_w_datab_range64w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
649
         SIGNAL  wire_altfp_div_pst1_w_datab_range96w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
650
         SIGNAL  wire_altfp_div_pst1_w_datab_range74w   :       STD_LOGIC_VECTOR (0 DOWNTO 0);
651
         SIGNAL  wire_altfp_div_pst1_w_datab_range102w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
652
         SIGNAL  wire_altfp_div_pst1_w_datab_range108w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
653
         SIGNAL  wire_altfp_div_pst1_w_datab_range114w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
654
         SIGNAL  wire_altfp_div_pst1_w_datab_range120w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
655
         SIGNAL  wire_altfp_div_pst1_w_datab_range126w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
656
         SIGNAL  wire_altfp_div_pst1_w_datab_range132w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
657
         SIGNAL  wire_altfp_div_pst1_w_datab_range138w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
658
         SIGNAL  wire_altfp_div_pst1_w_e1_w_range357w   :       STD_LOGIC_VECTOR (16 DOWNTO 0);
659
         SIGNAL  wire_altfp_div_pst1_w_e1_w_range367w   :       STD_LOGIC_VECTOR (16 DOWNTO 0);
660
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range7w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
661
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range17w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
662
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range27w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
663
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range37w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
664
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range47w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
665
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range57w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
666
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range67w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
667
         SIGNAL  wire_altfp_div_pst1_w_exp_a_all_one_w_range77w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
668
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range2w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
669
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range12w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
670
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range22w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
671
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range32w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
672
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range42w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
673
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range52w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
674
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range62w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
675
         SIGNAL  wire_altfp_div_pst1_w_exp_a_not_zero_w_range72w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
676
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range283w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
677
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range285w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
678
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range287w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
679
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range289w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
680
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range291w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
681
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range293w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
682
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range295w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
683
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_all_one_range297w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
684
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range260w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
685
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range263w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
686
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range266w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
687
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range269w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
688
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range272w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
689
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range275w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
690
         SIGNAL  wire_altfp_div_pst1_w_exp_add_output_not_zero_range278w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
691
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range9w  :       STD_LOGIC_VECTOR (0 DOWNTO 0);
692
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range19w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
693
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range29w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
694
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range39w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
695
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range49w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
696
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range59w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
697
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range69w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
698
         SIGNAL  wire_altfp_div_pst1_w_exp_b_all_one_w_range79w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
699
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range5w :       STD_LOGIC_VECTOR (0 DOWNTO 0);
700
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range15w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
701
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range25w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
702
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range35w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
703
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range45w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
704
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range55w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
705
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range65w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
706
         SIGNAL  wire_altfp_div_pst1_w_exp_b_not_zero_w_range75w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
707
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range82w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
708
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range142w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
709
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range148w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
710
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range154w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
711
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range160w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
712
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range166w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
713
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range172w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
714
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range178w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
715
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range184w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
716
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range190w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
717
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range196w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
718
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range88w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
719
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range202w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
720
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range208w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
721
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range214w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
722
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range94w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
723
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range100w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
724
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range106w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
725
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range112w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
726
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range118w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
727
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range124w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
728
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range130w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
729
         SIGNAL  wire_altfp_div_pst1_w_man_a_not_zero_w_range136w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
730
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range85w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
731
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range145w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
732
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range151w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
733
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range157w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
734
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range163w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
735
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range169w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
736
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range175w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
737
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range181w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
738
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range187w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
739
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range193w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
740
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range199w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
741
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range91w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
742
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range205w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
743
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range211w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
744
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range217w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
745
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range97w        :       STD_LOGIC_VECTOR (0 DOWNTO 0);
746
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range103w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
747
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range109w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
748
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range115w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
749
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range121w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
750
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range127w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
751
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range133w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
752
         SIGNAL  wire_altfp_div_pst1_w_man_b_not_zero_w_range139w       :       STD_LOGIC_VECTOR (0 DOWNTO 0);
753
         SIGNAL  wire_altfp_div_pst1_w_remainder_j_w_range361w  :       STD_LOGIC_VECTOR (49 DOWNTO 0);
754
         SIGNAL  wire_altfp_div_pst1_w_sticky_bits_range404w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
755
         SIGNAL  wire_altfp_div_pst1_w_sticky_bits_range407w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
756
         SIGNAL  wire_altfp_div_pst1_w_sticky_bits_range410w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
757
         SIGNAL  wire_altfp_div_pst1_w_sticky_bits_range413w    :       STD_LOGIC_VECTOR (0 DOWNTO 0);
758
         SIGNAL  wire_altfp_div_pst1_w_w_quotient_accumulate_w_range384w_range385w      :       STD_LOGIC_VECTOR (16 DOWNTO 0);
759
         COMPONENT  altsyncram
760
         GENERIC
761
         (
762
                ADDRESS_ACLR_A  :       STRING := "UNUSED";
763
                ADDRESS_ACLR_B  :       STRING := "NONE";
764
                ADDRESS_REG_B   :       STRING := "CLOCK1";
765
                BYTE_SIZE       :       NATURAL := 8;
766
                BYTEENA_ACLR_A  :       STRING := "UNUSED";
767
                BYTEENA_ACLR_B  :       STRING := "NONE";
768
                BYTEENA_REG_B   :       STRING := "CLOCK1";
769
                CLOCK_ENABLE_CORE_A     :       STRING := "USE_INPUT_CLKEN";
770
                CLOCK_ENABLE_CORE_B     :       STRING := "USE_INPUT_CLKEN";
771
                CLOCK_ENABLE_INPUT_A    :       STRING := "NORMAL";
772
                CLOCK_ENABLE_INPUT_B    :       STRING := "NORMAL";
773
                CLOCK_ENABLE_OUTPUT_A   :       STRING := "NORMAL";
774
                CLOCK_ENABLE_OUTPUT_B   :       STRING := "NORMAL";
775
                ENABLE_ECC      :       STRING := "FALSE";
776
                IMPLEMENT_IN_LES        :       STRING := "OFF";
777
                INDATA_ACLR_A   :       STRING := "UNUSED";
778
                INDATA_ACLR_B   :       STRING := "NONE";
779
                INDATA_REG_B    :       STRING := "CLOCK1";
780
                INIT_FILE       :       STRING := "UNUSED";
781
                INIT_FILE_LAYOUT        :       STRING := "PORT_A";
782
                MAXIMUM_DEPTH   :       NATURAL := 0;
783
                NUMWORDS_A      :       NATURAL := 0;
784
                NUMWORDS_B      :       NATURAL := 0;
785
                OPERATION_MODE  :       STRING := "BIDIR_DUAL_PORT";
786
                OUTDATA_ACLR_A  :       STRING := "NONE";
787
                OUTDATA_ACLR_B  :       STRING := "NONE";
788
                OUTDATA_REG_A   :       STRING := "UNREGISTERED";
789
                OUTDATA_REG_B   :       STRING := "UNREGISTERED";
790
                POWER_UP_UNINITIALIZED  :       STRING := "FALSE";
791
                RAM_BLOCK_TYPE  :       STRING := "AUTO";
792
                RDCONTROL_ACLR_B        :       STRING := "NONE";
793
                RDCONTROL_REG_B :       STRING := "CLOCK1";
794
                READ_DURING_WRITE_MODE_MIXED_PORTS      :       STRING := "DONT_CARE";
795
                read_during_write_mode_port_a   :       STRING := "NEW_DATA_NO_NBE_READ";
796
                read_during_write_mode_port_b   :       STRING := "NEW_DATA_NO_NBE_READ";
797
                WIDTH_A :       NATURAL;
798
                WIDTH_B :       NATURAL := 1;
799
                WIDTH_BYTEENA_A :       NATURAL := 1;
800
                WIDTH_BYTEENA_B :       NATURAL := 1;
801
                WIDTHAD_A       :       NATURAL;
802
                WIDTHAD_B       :       NATURAL := 1;
803
                WRCONTROL_ACLR_A        :       STRING := "UNUSED";
804
                WRCONTROL_ACLR_B        :       STRING := "NONE";
805
                WRCONTROL_WRADDRESS_REG_B       :       STRING := "CLOCK1";
806
                INTENDED_DEVICE_FAMILY  :       STRING := "Stratix";
807
                lpm_hint        :       STRING := "UNUSED";
808
                lpm_type        :       STRING := "altsyncram"
809
         );
810
         PORT
811
         (
812
                aclr0   :       IN STD_LOGIC := '0';
813
                aclr1   :       IN STD_LOGIC := '0';
814
                address_a       :       IN STD_LOGIC_VECTOR(WIDTHAD_A-1 DOWNTO 0);
815
                address_b       :       IN STD_LOGIC_VECTOR(WIDTHAD_B-1 DOWNTO 0) := (OTHERS => '1');
816
                addressstall_a  :       IN STD_LOGIC := '0';
817
                addressstall_b  :       IN STD_LOGIC := '0';
818
                byteena_a       :       IN STD_LOGIC_VECTOR(WIDTH_BYTEENA_A-1 DOWNTO 0) := (OTHERS => '1');
819
                byteena_b       :       IN STD_LOGIC_VECTOR(WIDTH_BYTEENA_B-1 DOWNTO 0) := (OTHERS => '1');
820
                clock0  :       IN STD_LOGIC := '1';
821
                clock1  :       IN STD_LOGIC := '1';
822
                clocken0        :       IN STD_LOGIC := '1';
823
                clocken1        :       IN STD_LOGIC := '1';
824
                clocken2        :       IN STD_LOGIC := '1';
825
                clocken3        :       IN STD_LOGIC := '1';
826
                data_a  :       IN STD_LOGIC_VECTOR(WIDTH_A-1 DOWNTO 0) := (OTHERS => '1');
827
                data_b  :       IN STD_LOGIC_VECTOR(WIDTH_B-1 DOWNTO 0) := (OTHERS => '1');
828
                eccstatus       :       OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
829
                q_a     :       OUT STD_LOGIC_VECTOR(WIDTH_A-1 DOWNTO 0);
830
                q_b     :       OUT STD_LOGIC_VECTOR(WIDTH_B-1 DOWNTO 0);
831
                rden_a  :       IN STD_LOGIC := '1';
832
                rden_b  :       IN STD_LOGIC := '1';
833
                wren_a  :       IN STD_LOGIC := '0';
834
                wren_b  :       IN STD_LOGIC := '0'
835
         );
836
         END COMPONENT;
837
         COMPONENT  lpm_add_sub
838
         GENERIC
839
         (
840
                LPM_DIRECTION   :       STRING := "DEFAULT";
841
                LPM_PIPELINE    :       NATURAL := 0;
842
                LPM_REPRESENTATION      :       STRING := "SIGNED";
843
                LPM_WIDTH       :       NATURAL;
844
                lpm_hint        :       STRING := "UNUSED";
845
                lpm_type        :       STRING := "lpm_add_sub"
846
         );
847
         PORT
848
         (
849
                aclr    :       IN STD_LOGIC := '0';
850
                add_sub :       IN STD_LOGIC := '1';
851
                cin     :       IN STD_LOGIC := 'Z';
852
                clken   :       IN STD_LOGIC := '1';
853
                clock   :       IN STD_LOGIC := '0';
854
                cout    :       OUT STD_LOGIC;
855
                dataa   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
856
                datab   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
857
                overflow        :       OUT STD_LOGIC;
858
                result  :       OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0)
859
         );
860
         END COMPONENT;
861
         COMPONENT  lpm_compare
862
         GENERIC
863
         (
864
                LPM_PIPELINE    :       NATURAL := 0;
865
                LPM_REPRESENTATION      :       STRING := "UNSIGNED";
866
                LPM_WIDTH       :       NATURAL;
867
                lpm_hint        :       STRING := "UNUSED";
868
                lpm_type        :       STRING := "lpm_compare"
869
         );
870
         PORT
871
         (
872
                aclr    :       IN STD_LOGIC := '0';
873
                aeb     :       OUT STD_LOGIC;
874
                agb     :       OUT STD_LOGIC;
875
                ageb    :       OUT STD_LOGIC;
876
                alb     :       OUT STD_LOGIC;
877
                aleb    :       OUT STD_LOGIC;
878
                aneb    :       OUT STD_LOGIC;
879
                clken   :       IN STD_LOGIC := '1';
880
                clock   :       IN STD_LOGIC := '0';
881
                dataa   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
882
                datab   :       IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
883
         );
884
         END COMPONENT;
885
         COMPONENT  lpm_mult
886
         GENERIC
887
         (
888
                LPM_PIPELINE    :       NATURAL := 0;
889
                LPM_REPRESENTATION      :       STRING := "UNSIGNED";
890
                LPM_WIDTHA      :       NATURAL;
891
                LPM_WIDTHB      :       NATURAL;
892
                LPM_WIDTHP      :       NATURAL;
893
                LPM_WIDTHS      :       NATURAL := 1;
894
                lpm_hint        :       STRING := "UNUSED";
895
                lpm_type        :       STRING := "lpm_mult"
896
         );
897
         PORT
898
         (
899
                aclr    :       IN STD_LOGIC := '0';
900
                clken   :       IN STD_LOGIC := '1';
901
                clock   :       IN STD_LOGIC := '0';
902
                dataa   :       IN STD_LOGIC_VECTOR(LPM_WIDTHA-1 DOWNTO 0);
903
                datab   :       IN STD_LOGIC_VECTOR(LPM_WIDTHB-1 DOWNTO 0);
904
                result  :       OUT STD_LOGIC_VECTOR(LPM_WIDTHP-1 DOWNTO 0);
905
                sum     :       IN STD_LOGIC_VECTOR(LPM_WIDTHS-1 DOWNTO 0) := (OTHERS => '0')
906
         );
907
         END COMPONENT;
908
 BEGIN
909
 
910
        loop0 : FOR i IN 0 TO 7 GENERATE
911
                wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w304w305w306w(i) <= wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w305w(0) AND bias_addition_w(i);
912
        END GENERATE loop0;
913
        wire_altfp_div_pst1_w322w(0) <= wire_altfp_div_pst1_w_lg_w_exp_add_output_all_one_range297w321w(0) AND wire_altfp_div_pst1_w_lg_exp_sign_w303w(0);
914
        wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w305w(0) <= wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0) AND wire_altfp_div_pst1_w_lg_exp_sign_w303w(0);
915
        wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w312w(0) <= wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0) AND exp_sign_w;
916
        loop1 : FOR i IN 0 TO 7 GENERATE
917
                wire_altfp_div_pst1_w302w(i) <= wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w299w300w301w(0) AND overflow_ones_w(i);
918
        END GENERATE loop1;
919
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w286w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range262w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range283w(0);
920
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w288w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range265w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range285w(0);
921
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w290w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range268w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range287w(0);
922
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w292w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range271w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range289w(0);
923
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w294w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range274w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range291w(0);
924
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w296w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range277w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range293w(0);
925
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w298w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range280w(0) AND wire_altfp_div_pst1_w_exp_add_output_all_one_range295w(0);
926
        wire_altfp_div_pst1_w_lg_w_dataa_range11w18w(0) <= wire_altfp_div_pst1_w_dataa_range11w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range7w(0);
927
        wire_altfp_div_pst1_w_lg_w_dataa_range21w28w(0) <= wire_altfp_div_pst1_w_dataa_range21w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range17w(0);
928
        wire_altfp_div_pst1_w_lg_w_dataa_range31w38w(0) <= wire_altfp_div_pst1_w_dataa_range31w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range27w(0);
929
        wire_altfp_div_pst1_w_lg_w_dataa_range41w48w(0) <= wire_altfp_div_pst1_w_dataa_range41w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range37w(0);
930
        wire_altfp_div_pst1_w_lg_w_dataa_range51w58w(0) <= wire_altfp_div_pst1_w_dataa_range51w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range47w(0);
931
        wire_altfp_div_pst1_w_lg_w_dataa_range61w68w(0) <= wire_altfp_div_pst1_w_dataa_range61w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range57w(0);
932
        wire_altfp_div_pst1_w_lg_w_dataa_range71w78w(0) <= wire_altfp_div_pst1_w_dataa_range71w(0) AND wire_altfp_div_pst1_w_exp_a_all_one_w_range67w(0);
933
        wire_altfp_div_pst1_w_lg_w_datab_range14w20w(0) <= wire_altfp_div_pst1_w_datab_range14w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range9w(0);
934
        wire_altfp_div_pst1_w_lg_w_datab_range24w30w(0) <= wire_altfp_div_pst1_w_datab_range24w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range19w(0);
935
        wire_altfp_div_pst1_w_lg_w_datab_range34w40w(0) <= wire_altfp_div_pst1_w_datab_range34w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range29w(0);
936
        wire_altfp_div_pst1_w_lg_w_datab_range44w50w(0) <= wire_altfp_div_pst1_w_datab_range44w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range39w(0);
937
        wire_altfp_div_pst1_w_lg_w_datab_range54w60w(0) <= wire_altfp_div_pst1_w_datab_range54w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range49w(0);
938
        wire_altfp_div_pst1_w_lg_w_datab_range64w70w(0) <= wire_altfp_div_pst1_w_datab_range64w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range59w(0);
939
        wire_altfp_div_pst1_w_lg_w_datab_range74w80w(0) <= wire_altfp_div_pst1_w_datab_range74w(0) AND wire_altfp_div_pst1_w_exp_b_all_one_w_range69w(0);
940
        wire_altfp_div_pst1_w_lg_w_exp_a_all_one_w_range77w222w(0) <= wire_altfp_div_pst1_w_exp_a_all_one_w_range77w(0) AND wire_altfp_div_pst1_w_lg_w_man_a_not_zero_w_range214w221w(0);
941
        wire_altfp_div_pst1_w_lg_w_exp_add_output_all_one_range297w321w(0) <= wire_altfp_div_pst1_w_exp_add_output_all_one_range297w(0) AND wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0);
942
        wire_altfp_div_pst1_w_lg_w_exp_b_all_one_w_range79w224w(0) <= wire_altfp_div_pst1_w_exp_b_all_one_w_range79w(0) AND wire_altfp_div_pst1_w_lg_w_man_b_not_zero_w_range217w223w(0);
943
        wire_altfp_div_pst1_w_lg_w_exp_b_not_zero_w_range75w256w(0) <= wire_altfp_div_pst1_w_exp_b_not_zero_w_range75w(0) AND wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w(0);
944
        wire_altfp_div_pst1_w_lg_a_is_infinity_w233w(0) <= NOT a_is_infinity_w;
945
        wire_altfp_div_pst1_w_lg_a_is_nan_w234w(0) <= NOT a_is_nan_w;
946
        wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0) <= NOT bias_addition_overf_w;
947
        wire_altfp_div_pst1_w_lg_exp_sign_w303w(0) <= NOT exp_sign_w;
948
        wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w(0) <= NOT wire_altfp_div_pst1_w_exp_a_not_zero_w_range72w(0);
949
        wire_altfp_div_pst1_w_lg_w_man_a_not_zero_w_range214w221w(0) <= NOT wire_altfp_div_pst1_w_man_a_not_zero_w_range214w(0);
950
        wire_altfp_div_pst1_w_lg_w_man_b_not_zero_w_range217w223w(0) <= NOT wire_altfp_div_pst1_w_man_b_not_zero_w_range217w(0);
951
        wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w299w300w301w(0) <= wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w299w300w(0) OR a_is_infinity_dffe_1;
952
        wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w299w300w(0) <= wire_altfp_div_pst1_w_lg_bias_addition_overf_w299w(0) OR nan_pipe_dffe_1;
953
        wire_altfp_div_pst1_w_lg_bias_addition_overf_w323w(0) <= bias_addition_overf_w OR wire_altfp_div_pst1_w322w(0);
954
        wire_altfp_div_pst1_w_lg_bias_addition_overf_w299w(0) <= bias_addition_overf_w OR divbyzero_pipe_dffe_1;
955
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w264w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range262w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range260w(0);
956
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w267w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range265w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range263w(0);
957
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w270w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range268w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range266w(0);
958
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w273w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range271w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range269w(0);
959
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w276w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range274w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range272w(0);
960
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w279w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range277w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range275w(0);
961
        wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w282w(0) <= wire_altfp_div_pst1_w_bias_addition_w_range280w(0) OR wire_altfp_div_pst1_w_exp_add_output_not_zero_range278w(0);
962
        wire_altfp_div_pst1_w_lg_w_dataa_range141w143w(0) <= wire_altfp_div_pst1_w_dataa_range141w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range136w(0);
963
        wire_altfp_div_pst1_w_lg_w_dataa_range147w149w(0) <= wire_altfp_div_pst1_w_dataa_range147w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range142w(0);
964
        wire_altfp_div_pst1_w_lg_w_dataa_range153w155w(0) <= wire_altfp_div_pst1_w_dataa_range153w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range148w(0);
965
        wire_altfp_div_pst1_w_lg_w_dataa_range159w161w(0) <= wire_altfp_div_pst1_w_dataa_range159w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range154w(0);
966
        wire_altfp_div_pst1_w_lg_w_dataa_range165w167w(0) <= wire_altfp_div_pst1_w_dataa_range165w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range160w(0);
967
        wire_altfp_div_pst1_w_lg_w_dataa_range171w173w(0) <= wire_altfp_div_pst1_w_dataa_range171w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range166w(0);
968
        wire_altfp_div_pst1_w_lg_w_dataa_range177w179w(0) <= wire_altfp_div_pst1_w_dataa_range177w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range172w(0);
969
        wire_altfp_div_pst1_w_lg_w_dataa_range183w185w(0) <= wire_altfp_div_pst1_w_dataa_range183w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range178w(0);
970
        wire_altfp_div_pst1_w_lg_w_dataa_range189w191w(0) <= wire_altfp_div_pst1_w_dataa_range189w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range184w(0);
971
        wire_altfp_div_pst1_w_lg_w_dataa_range195w197w(0) <= wire_altfp_div_pst1_w_dataa_range195w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range190w(0);
972
        wire_altfp_div_pst1_w_lg_w_dataa_range87w89w(0) <= wire_altfp_div_pst1_w_dataa_range87w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range82w(0);
973
        wire_altfp_div_pst1_w_lg_w_dataa_range201w203w(0) <= wire_altfp_div_pst1_w_dataa_range201w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range196w(0);
974
        wire_altfp_div_pst1_w_lg_w_dataa_range207w209w(0) <= wire_altfp_div_pst1_w_dataa_range207w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range202w(0);
975
        wire_altfp_div_pst1_w_lg_w_dataa_range213w215w(0) <= wire_altfp_div_pst1_w_dataa_range213w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range208w(0);
976
        wire_altfp_div_pst1_w_lg_w_dataa_range11w13w(0) <= wire_altfp_div_pst1_w_dataa_range11w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range2w(0);
977
        wire_altfp_div_pst1_w_lg_w_dataa_range21w23w(0) <= wire_altfp_div_pst1_w_dataa_range21w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range12w(0);
978
        wire_altfp_div_pst1_w_lg_w_dataa_range31w33w(0) <= wire_altfp_div_pst1_w_dataa_range31w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range22w(0);
979
        wire_altfp_div_pst1_w_lg_w_dataa_range41w43w(0) <= wire_altfp_div_pst1_w_dataa_range41w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range32w(0);
980
        wire_altfp_div_pst1_w_lg_w_dataa_range51w53w(0) <= wire_altfp_div_pst1_w_dataa_range51w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range42w(0);
981
        wire_altfp_div_pst1_w_lg_w_dataa_range61w63w(0) <= wire_altfp_div_pst1_w_dataa_range61w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range52w(0);
982
        wire_altfp_div_pst1_w_lg_w_dataa_range93w95w(0) <= wire_altfp_div_pst1_w_dataa_range93w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range88w(0);
983
        wire_altfp_div_pst1_w_lg_w_dataa_range71w73w(0) <= wire_altfp_div_pst1_w_dataa_range71w(0) OR wire_altfp_div_pst1_w_exp_a_not_zero_w_range62w(0);
984
        wire_altfp_div_pst1_w_lg_w_dataa_range99w101w(0) <= wire_altfp_div_pst1_w_dataa_range99w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range94w(0);
985
        wire_altfp_div_pst1_w_lg_w_dataa_range105w107w(0) <= wire_altfp_div_pst1_w_dataa_range105w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range100w(0);
986
        wire_altfp_div_pst1_w_lg_w_dataa_range111w113w(0) <= wire_altfp_div_pst1_w_dataa_range111w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range106w(0);
987
        wire_altfp_div_pst1_w_lg_w_dataa_range117w119w(0) <= wire_altfp_div_pst1_w_dataa_range117w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range112w(0);
988
        wire_altfp_div_pst1_w_lg_w_dataa_range123w125w(0) <= wire_altfp_div_pst1_w_dataa_range123w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range118w(0);
989
        wire_altfp_div_pst1_w_lg_w_dataa_range129w131w(0) <= wire_altfp_div_pst1_w_dataa_range129w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range124w(0);
990
        wire_altfp_div_pst1_w_lg_w_dataa_range135w137w(0) <= wire_altfp_div_pst1_w_dataa_range135w(0) OR wire_altfp_div_pst1_w_man_a_not_zero_w_range130w(0);
991
        wire_altfp_div_pst1_w_lg_w_datab_range144w146w(0) <= wire_altfp_div_pst1_w_datab_range144w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range139w(0);
992
        wire_altfp_div_pst1_w_lg_w_datab_range150w152w(0) <= wire_altfp_div_pst1_w_datab_range150w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range145w(0);
993
        wire_altfp_div_pst1_w_lg_w_datab_range156w158w(0) <= wire_altfp_div_pst1_w_datab_range156w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range151w(0);
994
        wire_altfp_div_pst1_w_lg_w_datab_range162w164w(0) <= wire_altfp_div_pst1_w_datab_range162w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range157w(0);
995
        wire_altfp_div_pst1_w_lg_w_datab_range168w170w(0) <= wire_altfp_div_pst1_w_datab_range168w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range163w(0);
996
        wire_altfp_div_pst1_w_lg_w_datab_range174w176w(0) <= wire_altfp_div_pst1_w_datab_range174w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range169w(0);
997
        wire_altfp_div_pst1_w_lg_w_datab_range180w182w(0) <= wire_altfp_div_pst1_w_datab_range180w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range175w(0);
998
        wire_altfp_div_pst1_w_lg_w_datab_range186w188w(0) <= wire_altfp_div_pst1_w_datab_range186w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range181w(0);
999
        wire_altfp_div_pst1_w_lg_w_datab_range192w194w(0) <= wire_altfp_div_pst1_w_datab_range192w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range187w(0);
1000
        wire_altfp_div_pst1_w_lg_w_datab_range198w200w(0) <= wire_altfp_div_pst1_w_datab_range198w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range193w(0);
1001
        wire_altfp_div_pst1_w_lg_w_datab_range90w92w(0) <= wire_altfp_div_pst1_w_datab_range90w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range85w(0);
1002
        wire_altfp_div_pst1_w_lg_w_datab_range204w206w(0) <= wire_altfp_div_pst1_w_datab_range204w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range199w(0);
1003
        wire_altfp_div_pst1_w_lg_w_datab_range210w212w(0) <= wire_altfp_div_pst1_w_datab_range210w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range205w(0);
1004
        wire_altfp_div_pst1_w_lg_w_datab_range216w218w(0) <= wire_altfp_div_pst1_w_datab_range216w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range211w(0);
1005
        wire_altfp_div_pst1_w_lg_w_datab_range14w16w(0) <= wire_altfp_div_pst1_w_datab_range14w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range5w(0);
1006
        wire_altfp_div_pst1_w_lg_w_datab_range24w26w(0) <= wire_altfp_div_pst1_w_datab_range24w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range15w(0);
1007
        wire_altfp_div_pst1_w_lg_w_datab_range34w36w(0) <= wire_altfp_div_pst1_w_datab_range34w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range25w(0);
1008
        wire_altfp_div_pst1_w_lg_w_datab_range44w46w(0) <= wire_altfp_div_pst1_w_datab_range44w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range35w(0);
1009
        wire_altfp_div_pst1_w_lg_w_datab_range54w56w(0) <= wire_altfp_div_pst1_w_datab_range54w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range45w(0);
1010
        wire_altfp_div_pst1_w_lg_w_datab_range64w66w(0) <= wire_altfp_div_pst1_w_datab_range64w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range55w(0);
1011
        wire_altfp_div_pst1_w_lg_w_datab_range96w98w(0) <= wire_altfp_div_pst1_w_datab_range96w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range91w(0);
1012
        wire_altfp_div_pst1_w_lg_w_datab_range74w76w(0) <= wire_altfp_div_pst1_w_datab_range74w(0) OR wire_altfp_div_pst1_w_exp_b_not_zero_w_range65w(0);
1013
        wire_altfp_div_pst1_w_lg_w_datab_range102w104w(0) <= wire_altfp_div_pst1_w_datab_range102w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range97w(0);
1014
        wire_altfp_div_pst1_w_lg_w_datab_range108w110w(0) <= wire_altfp_div_pst1_w_datab_range108w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range103w(0);
1015
        wire_altfp_div_pst1_w_lg_w_datab_range114w116w(0) <= wire_altfp_div_pst1_w_datab_range114w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range109w(0);
1016
        wire_altfp_div_pst1_w_lg_w_datab_range120w122w(0) <= wire_altfp_div_pst1_w_datab_range120w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range115w(0);
1017
        wire_altfp_div_pst1_w_lg_w_datab_range126w128w(0) <= wire_altfp_div_pst1_w_datab_range126w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range121w(0);
1018
        wire_altfp_div_pst1_w_lg_w_datab_range132w134w(0) <= wire_altfp_div_pst1_w_datab_range132w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range127w(0);
1019
        wire_altfp_div_pst1_w_lg_w_datab_range138w140w(0) <= wire_altfp_div_pst1_w_datab_range138w(0) OR wire_altfp_div_pst1_w_man_b_not_zero_w_range133w(0);
1020
        a_is_infinity_w <= wire_altfp_div_pst1_w_lg_w_exp_a_all_one_w_range77w222w(0);
1021
        a_is_nan_w <= (exp_a_all_one_w(7) AND man_a_not_zero_w(22));
1022
        a_zero_b_not <= wire_altfp_div_pst1_w_lg_w_exp_b_not_zero_w_range75w256w(0);
1023
        b1_dffe_w <= ( b1_dffe_0);
1024
        b_is_infinity_w <= wire_altfp_div_pst1_w_lg_w_exp_b_all_one_w_range79w224w(0);
1025
        b_is_nan_w <= (exp_b_all_one_w(7) AND man_b_not_zero_w(22));
1026
        bias_addition_overf_w <= wire_bias_addition_overflow;
1027
        bias_addition_w <= wire_bias_addition_result(7 DOWNTO 0);
1028
        both_exp_zeros <= both_exp_zeros_dffe;
1029
        division_by_zero <= divbyzero_pipe_dffe_5;
1030
        e0_dffe1_wo <= e0_w;
1031
        e0_w <= wire_altsyncram3_q_a;
1032
        e1_w <= ( e1_dffe_1 & e1_dffe_0 & wire_b1_prod_w_lg_w_result_range358w359w);
1033
        exp_a_all_one_w <= ( wire_altfp_div_pst1_w_lg_w_dataa_range71w78w & wire_altfp_div_pst1_w_lg_w_dataa_range61w68w & wire_altfp_div_pst1_w_lg_w_dataa_range51w58w & wire_altfp_div_pst1_w_lg_w_dataa_range41w48w & wire_altfp_div_pst1_w_lg_w_dataa_range31w38w & wire_altfp_div_pst1_w_lg_w_dataa_range21w28w & wire_altfp_div_pst1_w_lg_w_dataa_range11w18w & dataa(23));
1034
        exp_a_not_zero_w <= ( wire_altfp_div_pst1_w_lg_w_dataa_range71w73w & wire_altfp_div_pst1_w_lg_w_dataa_range61w63w & wire_altfp_div_pst1_w_lg_w_dataa_range51w53w & wire_altfp_div_pst1_w_lg_w_dataa_range41w43w & wire_altfp_div_pst1_w_lg_w_dataa_range31w33w & wire_altfp_div_pst1_w_lg_w_dataa_range21w23w & wire_altfp_div_pst1_w_lg_w_dataa_range11w13w & dataa(23));
1035
        exp_add_output_all_one <= ( wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w298w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w296w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w294w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w292w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w290w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w288w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w286w & bias_addition_w(0));
1036
        exp_add_output_not_zero <= ( wire_altfp_div_pst1_w_lg_w_bias_addition_w_range280w282w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range277w279w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range274w276w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range271w273w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range268w270w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range265w267w & wire_altfp_div_pst1_w_lg_w_bias_addition_w_range262w264w & bias_addition_w(0));
1037
        exp_b_all_one_w <= ( wire_altfp_div_pst1_w_lg_w_datab_range74w80w & wire_altfp_div_pst1_w_lg_w_datab_range64w70w & wire_altfp_div_pst1_w_lg_w_datab_range54w60w & wire_altfp_div_pst1_w_lg_w_datab_range44w50w & wire_altfp_div_pst1_w_lg_w_datab_range34w40w & wire_altfp_div_pst1_w_lg_w_datab_range24w30w & wire_altfp_div_pst1_w_lg_w_datab_range14w20w & datab(23));
1038
        exp_b_not_zero_w <= ( wire_altfp_div_pst1_w_lg_w_datab_range74w76w & wire_altfp_div_pst1_w_lg_w_datab_range64w66w & wire_altfp_div_pst1_w_lg_w_datab_range54w56w & wire_altfp_div_pst1_w_lg_w_datab_range44w46w & wire_altfp_div_pst1_w_lg_w_datab_range34w36w & wire_altfp_div_pst1_w_lg_w_datab_range24w26w & wire_altfp_div_pst1_w_lg_w_datab_range14w16w & datab(23));
1039
        exp_result_mux_out <= wire_exp_result_muxa_dataout;
1040
        exp_result_mux_sel_w <= ((((a_zero_b_not_dffe_1 OR b_is_infinity_dffe_1) OR wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w312w(0)) OR (((NOT exp_add_output_not_zero(7)) AND wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0)) AND wire_altfp_div_pst1_w_lg_exp_sign_w303w(0))) AND wire_nan_pipe_dffe_1_w_lg_q308w(0));
1041
        exp_result_w <= (wire_altfp_div_pst1_w_lg_w_lg_w_lg_bias_addition_overf_w304w305w306w OR wire_altfp_div_pst1_w302w);
1042
        exp_sign_w <= wire_bias_addition_result(8);
1043
        exp_sub_a_w <= ( "0" & dataa(30 DOWNTO 23));
1044
        exp_sub_b_w <= ( "0" & datab(30 DOWNTO 23));
1045
        exp_sub_w <= wire_exp_sub_result;
1046
        frac_a_smaller_dffe1_wi <= frac_a_smaller_w;
1047
        frac_a_smaller_dffe1_wo <= frac_a_smaller_dffe1;
1048
        frac_a_smaller_w <= wire_cmpr2_alb;
1049
        guard_bit <= wire_q_partial_1_result(22);
1050
        man_a_adjusted_w <= wire_man_a_adjusteda_dataout;
1051
        man_a_dffe1_wi <= dataa(22 DOWNTO 0);
1052
        man_a_dffe1_wo <= man_a_dffe1_dffe1;
1053
        man_a_not_zero_w <= ( wire_altfp_div_pst1_w_lg_w_dataa_range213w215w & wire_altfp_div_pst1_w_lg_w_dataa_range207w209w & wire_altfp_div_pst1_w_lg_w_dataa_range201w203w & wire_altfp_div_pst1_w_lg_w_dataa_range195w197w & wire_altfp_div_pst1_w_lg_w_dataa_range189w191w & wire_altfp_div_pst1_w_lg_w_dataa_range183w185w & wire_altfp_div_pst1_w_lg_w_dataa_range177w179w & wire_altfp_div_pst1_w_lg_w_dataa_range171w173w & wire_altfp_div_pst1_w_lg_w_dataa_range165w167w & wire_altfp_div_pst1_w_lg_w_dataa_range159w161w & wire_altfp_div_pst1_w_lg_w_dataa_range153w155w & wire_altfp_div_pst1_w_lg_w_dataa_range147w149w & wire_altfp_div_pst1_w_lg_w_dataa_range141w143w & wire_altfp_div_pst1_w_lg_w_dataa_range135w137w & wire_altfp_div_pst1_w_lg_w_dataa_range129w131w & wire_altfp_div_pst1_w_lg_w_dataa_range123w125w & wire_altfp_div_pst1_w_lg_w_dataa_range117w119w & wire_altfp_div_pst1_w_lg_w_dataa_range111w113w & wire_altfp_div_pst1_w_lg_w_dataa_range105w107w & wire_altfp_div_pst1_w_lg_w_dataa_range99w101w & wire_altfp_div_pst1_w_lg_w_dataa_range93w95w & wire_altfp_div_pst1_w_lg_w_dataa_range87w89w & dataa(0));
1054
        man_b_adjusted_w <= ( "1" & man_b_dffe1_wo);
1055
        man_b_dffe1_wi <= datab(22 DOWNTO 0);
1056
        man_b_dffe1_wo <= man_b_dffe1_dffe1;
1057
        man_b_not_zero_w <= ( wire_altfp_div_pst1_w_lg_w_datab_range216w218w & wire_altfp_div_pst1_w_lg_w_datab_range210w212w & wire_altfp_div_pst1_w_lg_w_datab_range204w206w & wire_altfp_div_pst1_w_lg_w_datab_range198w200w & wire_altfp_div_pst1_w_lg_w_datab_range192w194w & wire_altfp_div_pst1_w_lg_w_datab_range186w188w & wire_altfp_div_pst1_w_lg_w_datab_range180w182w & wire_altfp_div_pst1_w_lg_w_datab_range174w176w & wire_altfp_div_pst1_w_lg_w_datab_range168w170w & wire_altfp_div_pst1_w_lg_w_datab_range162w164w & wire_altfp_div_pst1_w_lg_w_datab_range156w158w & wire_altfp_div_pst1_w_lg_w_datab_range150w152w & wire_altfp_div_pst1_w_lg_w_datab_range144w146w & wire_altfp_div_pst1_w_lg_w_datab_range138w140w & wire_altfp_div_pst1_w_lg_w_datab_range132w134w & wire_altfp_div_pst1_w_lg_w_datab_range126w128w & wire_altfp_div_pst1_w_lg_w_datab_range120w122w & wire_altfp_div_pst1_w_lg_w_datab_range114w116w & wire_altfp_div_pst1_w_lg_w_datab_range108w110w & wire_altfp_div_pst1_w_lg_w_datab_range102w104w & wire_altfp_div_pst1_w_lg_w_datab_range96w98w & wire_altfp_div_pst1_w_lg_w_datab_range90w92w & datab(0));
1058
        man_result_dffe_wi <= man_result_w;
1059
        man_result_dffe_wo <= man_result_dffe;
1060
        man_result_mux_select <= ((((((overflow_dffe_2 OR underflow_dffe_2) OR a_zero_b_not_dffe_4) OR nan_pipe_dffe_4) OR b_is_infinity_dffe_4) OR a_is_infinity_dffe_4) OR divbyzero_pipe_dffe_4);
1061
        man_result_w <= wire_man_result_muxa_dataout;
1062
        man_zeros_w <= (OTHERS => '0');
1063
        nan <= nan_pipe_dffe_5;
1064
        overflow <= overflow_dffe_3;
1065
        overflow_ones_w <= (OTHERS => '1');
1066
        overflow_w <= (wire_altfp_div_pst1_w_lg_bias_addition_overf_w323w(0) AND ((wire_nan_pipe_dffe_1_w_lg_q308w(0) AND wire_a_is_infinity_dffe_1_w_lg_q318w(0)) AND wire_divbyzero_pipe_dffe_1_w_lg_q317w(0)));
1067
        quotient_accumulate_w <= ( quotient_k_dffe_0 & "00000000000000" & quotient_j_dffe & "00000000000000");
1068
        quotient_process_cin_w <= (round_bit AND (guard_bit OR sticky_bits(4)));
1069
        remainder_j_w <= ( wire_remainder_sub_0_result(35 DOWNTO 0) & "00000000000000" & wire_a1_prod_result(34 DOWNTO 0) & "000000000000000");
1070
        result <= ( sign_pipe_dffe_5 & exp_result_dffe_3 & man_result_dffe_wo);
1071
        round_bit <= wire_q_partial_1_result(21);
1072
        select_bias_out_2_w <= wire_select_bias_2a_dataout;
1073
        select_bias_out_w <= wire_select_biasa_dataout;
1074
        sticky_bits <= ( wire_q_partial_1_w_lg_w_result_range415w417w & wire_q_partial_1_w_lg_w_result_range412w414w & wire_q_partial_1_w_lg_w_result_range409w411w & wire_q_partial_1_w_lg_w_result_range406w408w & wire_q_partial_1_result(16));
1075
        underflow <= underflow_dffe_3;
1076
        underflow_w <= ((((wire_altfp_div_pst1_w_lg_w_lg_bias_addition_overf_w304w312w(0) OR (((NOT exp_add_output_not_zero(7)) AND wire_altfp_div_pst1_w_lg_bias_addition_overf_w304w(0)) AND wire_altfp_div_pst1_w_lg_exp_sign_w303w(0))) AND wire_nan_pipe_dffe_1_w_lg_q308w(0)) AND wire_a_zero_b_not_dffe_1_w_lg_q326w(0)) AND wire_b_is_infinity_dffe_1_w_lg_q325w(0));
1077
        underflow_zeros_w <= (OTHERS => '0');
1078
        value_add_one_w <= "001111111";
1079
        value_normal_w <= "001111110";
1080
        value_zero_w <= (OTHERS => '0');
1081
        zero <= zero_dffe_wo;
1082
        zero_dffe_wi <= (((zero_pipe_dffe_4 OR underflow_dffe_2) OR wire_b_is_infinity_dffe_4_w_lg_q438w(0)) AND wire_nan_pipe_dffe_4_w_lg_q436w(0));
1083
        zero_dffe_wo <= zero_dffe;
1084
        wire_altfp_div_pst1_w_bias_addition_w_range262w(0) <= bias_addition_w(1);
1085
        wire_altfp_div_pst1_w_bias_addition_w_range265w(0) <= bias_addition_w(2);
1086
        wire_altfp_div_pst1_w_bias_addition_w_range268w(0) <= bias_addition_w(3);
1087
        wire_altfp_div_pst1_w_bias_addition_w_range271w(0) <= bias_addition_w(4);
1088
        wire_altfp_div_pst1_w_bias_addition_w_range274w(0) <= bias_addition_w(5);
1089
        wire_altfp_div_pst1_w_bias_addition_w_range277w(0) <= bias_addition_w(6);
1090
        wire_altfp_div_pst1_w_bias_addition_w_range280w(0) <= bias_addition_w(7);
1091
        wire_altfp_div_pst1_w_dataa_range141w(0) <= dataa(10);
1092
        wire_altfp_div_pst1_w_dataa_range147w(0) <= dataa(11);
1093
        wire_altfp_div_pst1_w_dataa_range153w(0) <= dataa(12);
1094
        wire_altfp_div_pst1_w_dataa_range159w(0) <= dataa(13);
1095
        wire_altfp_div_pst1_w_dataa_range165w(0) <= dataa(14);
1096
        wire_altfp_div_pst1_w_dataa_range171w(0) <= dataa(15);
1097
        wire_altfp_div_pst1_w_dataa_range177w(0) <= dataa(16);
1098
        wire_altfp_div_pst1_w_dataa_range183w(0) <= dataa(17);
1099
        wire_altfp_div_pst1_w_dataa_range189w(0) <= dataa(18);
1100
        wire_altfp_div_pst1_w_dataa_range195w(0) <= dataa(19);
1101
        wire_altfp_div_pst1_w_dataa_range87w(0) <= dataa(1);
1102
        wire_altfp_div_pst1_w_dataa_range201w(0) <= dataa(20);
1103
        wire_altfp_div_pst1_w_dataa_range207w(0) <= dataa(21);
1104
        wire_altfp_div_pst1_w_dataa_range213w(0) <= dataa(22);
1105
        wire_altfp_div_pst1_w_dataa_range11w(0) <= dataa(24);
1106
        wire_altfp_div_pst1_w_dataa_range21w(0) <= dataa(25);
1107
        wire_altfp_div_pst1_w_dataa_range31w(0) <= dataa(26);
1108
        wire_altfp_div_pst1_w_dataa_range41w(0) <= dataa(27);
1109
        wire_altfp_div_pst1_w_dataa_range51w(0) <= dataa(28);
1110
        wire_altfp_div_pst1_w_dataa_range61w(0) <= dataa(29);
1111
        wire_altfp_div_pst1_w_dataa_range93w(0) <= dataa(2);
1112
        wire_altfp_div_pst1_w_dataa_range71w(0) <= dataa(30);
1113
        wire_altfp_div_pst1_w_dataa_range99w(0) <= dataa(3);
1114
        wire_altfp_div_pst1_w_dataa_range105w(0) <= dataa(4);
1115
        wire_altfp_div_pst1_w_dataa_range111w(0) <= dataa(5);
1116
        wire_altfp_div_pst1_w_dataa_range117w(0) <= dataa(6);
1117
        wire_altfp_div_pst1_w_dataa_range123w(0) <= dataa(7);
1118
        wire_altfp_div_pst1_w_dataa_range129w(0) <= dataa(8);
1119
        wire_altfp_div_pst1_w_dataa_range135w(0) <= dataa(9);
1120
        wire_altfp_div_pst1_w_datab_range144w(0) <= datab(10);
1121
        wire_altfp_div_pst1_w_datab_range150w(0) <= datab(11);
1122
        wire_altfp_div_pst1_w_datab_range156w(0) <= datab(12);
1123
        wire_altfp_div_pst1_w_datab_range162w(0) <= datab(13);
1124
        wire_altfp_div_pst1_w_datab_range168w(0) <= datab(14);
1125
        wire_altfp_div_pst1_w_datab_range174w(0) <= datab(15);
1126
        wire_altfp_div_pst1_w_datab_range180w(0) <= datab(16);
1127
        wire_altfp_div_pst1_w_datab_range186w(0) <= datab(17);
1128
        wire_altfp_div_pst1_w_datab_range192w(0) <= datab(18);
1129
        wire_altfp_div_pst1_w_datab_range198w(0) <= datab(19);
1130
        wire_altfp_div_pst1_w_datab_range90w(0) <= datab(1);
1131
        wire_altfp_div_pst1_w_datab_range204w(0) <= datab(20);
1132
        wire_altfp_div_pst1_w_datab_range210w(0) <= datab(21);
1133
        wire_altfp_div_pst1_w_datab_range216w(0) <= datab(22);
1134
        wire_altfp_div_pst1_w_datab_range14w(0) <= datab(24);
1135
        wire_altfp_div_pst1_w_datab_range24w(0) <= datab(25);
1136
        wire_altfp_div_pst1_w_datab_range34w(0) <= datab(26);
1137
        wire_altfp_div_pst1_w_datab_range44w(0) <= datab(27);
1138
        wire_altfp_div_pst1_w_datab_range54w(0) <= datab(28);
1139
        wire_altfp_div_pst1_w_datab_range64w(0) <= datab(29);
1140
        wire_altfp_div_pst1_w_datab_range96w(0) <= datab(2);
1141
        wire_altfp_div_pst1_w_datab_range74w(0) <= datab(30);
1142
        wire_altfp_div_pst1_w_datab_range102w(0) <= datab(3);
1143
        wire_altfp_div_pst1_w_datab_range108w(0) <= datab(4);
1144
        wire_altfp_div_pst1_w_datab_range114w(0) <= datab(5);
1145
        wire_altfp_div_pst1_w_datab_range120w(0) <= datab(6);
1146
        wire_altfp_div_pst1_w_datab_range126w(0) <= datab(7);
1147
        wire_altfp_div_pst1_w_datab_range132w(0) <= datab(8);
1148
        wire_altfp_div_pst1_w_datab_range138w(0) <= datab(9);
1149
        wire_altfp_div_pst1_w_e1_w_range357w <= e1_w(16 DOWNTO 0);
1150
        wire_altfp_div_pst1_w_e1_w_range367w <= e1_w(33 DOWNTO 17);
1151
        wire_altfp_div_pst1_w_exp_a_all_one_w_range7w(0) <= exp_a_all_one_w(0);
1152
        wire_altfp_div_pst1_w_exp_a_all_one_w_range17w(0) <= exp_a_all_one_w(1);
1153
        wire_altfp_div_pst1_w_exp_a_all_one_w_range27w(0) <= exp_a_all_one_w(2);
1154
        wire_altfp_div_pst1_w_exp_a_all_one_w_range37w(0) <= exp_a_all_one_w(3);
1155
        wire_altfp_div_pst1_w_exp_a_all_one_w_range47w(0) <= exp_a_all_one_w(4);
1156
        wire_altfp_div_pst1_w_exp_a_all_one_w_range57w(0) <= exp_a_all_one_w(5);
1157
        wire_altfp_div_pst1_w_exp_a_all_one_w_range67w(0) <= exp_a_all_one_w(6);
1158
        wire_altfp_div_pst1_w_exp_a_all_one_w_range77w(0) <= exp_a_all_one_w(7);
1159
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range2w(0) <= exp_a_not_zero_w(0);
1160
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range12w(0) <= exp_a_not_zero_w(1);
1161
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range22w(0) <= exp_a_not_zero_w(2);
1162
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range32w(0) <= exp_a_not_zero_w(3);
1163
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range42w(0) <= exp_a_not_zero_w(4);
1164
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range52w(0) <= exp_a_not_zero_w(5);
1165
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range62w(0) <= exp_a_not_zero_w(6);
1166
        wire_altfp_div_pst1_w_exp_a_not_zero_w_range72w(0) <= exp_a_not_zero_w(7);
1167
        wire_altfp_div_pst1_w_exp_add_output_all_one_range283w(0) <= exp_add_output_all_one(0);
1168
        wire_altfp_div_pst1_w_exp_add_output_all_one_range285w(0) <= exp_add_output_all_one(1);
1169
        wire_altfp_div_pst1_w_exp_add_output_all_one_range287w(0) <= exp_add_output_all_one(2);
1170
        wire_altfp_div_pst1_w_exp_add_output_all_one_range289w(0) <= exp_add_output_all_one(3);
1171
        wire_altfp_div_pst1_w_exp_add_output_all_one_range291w(0) <= exp_add_output_all_one(4);
1172
        wire_altfp_div_pst1_w_exp_add_output_all_one_range293w(0) <= exp_add_output_all_one(5);
1173
        wire_altfp_div_pst1_w_exp_add_output_all_one_range295w(0) <= exp_add_output_all_one(6);
1174
        wire_altfp_div_pst1_w_exp_add_output_all_one_range297w(0) <= exp_add_output_all_one(7);
1175
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range260w(0) <= exp_add_output_not_zero(0);
1176
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range263w(0) <= exp_add_output_not_zero(1);
1177
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range266w(0) <= exp_add_output_not_zero(2);
1178
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range269w(0) <= exp_add_output_not_zero(3);
1179
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range272w(0) <= exp_add_output_not_zero(4);
1180
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range275w(0) <= exp_add_output_not_zero(5);
1181
        wire_altfp_div_pst1_w_exp_add_output_not_zero_range278w(0) <= exp_add_output_not_zero(6);
1182
        wire_altfp_div_pst1_w_exp_b_all_one_w_range9w(0) <= exp_b_all_one_w(0);
1183
        wire_altfp_div_pst1_w_exp_b_all_one_w_range19w(0) <= exp_b_all_one_w(1);
1184
        wire_altfp_div_pst1_w_exp_b_all_one_w_range29w(0) <= exp_b_all_one_w(2);
1185
        wire_altfp_div_pst1_w_exp_b_all_one_w_range39w(0) <= exp_b_all_one_w(3);
1186
        wire_altfp_div_pst1_w_exp_b_all_one_w_range49w(0) <= exp_b_all_one_w(4);
1187
        wire_altfp_div_pst1_w_exp_b_all_one_w_range59w(0) <= exp_b_all_one_w(5);
1188
        wire_altfp_div_pst1_w_exp_b_all_one_w_range69w(0) <= exp_b_all_one_w(6);
1189
        wire_altfp_div_pst1_w_exp_b_all_one_w_range79w(0) <= exp_b_all_one_w(7);
1190
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range5w(0) <= exp_b_not_zero_w(0);
1191
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range15w(0) <= exp_b_not_zero_w(1);
1192
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range25w(0) <= exp_b_not_zero_w(2);
1193
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range35w(0) <= exp_b_not_zero_w(3);
1194
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range45w(0) <= exp_b_not_zero_w(4);
1195
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range55w(0) <= exp_b_not_zero_w(5);
1196
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range65w(0) <= exp_b_not_zero_w(6);
1197
        wire_altfp_div_pst1_w_exp_b_not_zero_w_range75w(0) <= exp_b_not_zero_w(7);
1198
        wire_altfp_div_pst1_w_man_a_not_zero_w_range82w(0) <= man_a_not_zero_w(0);
1199
        wire_altfp_div_pst1_w_man_a_not_zero_w_range142w(0) <= man_a_not_zero_w(10);
1200
        wire_altfp_div_pst1_w_man_a_not_zero_w_range148w(0) <= man_a_not_zero_w(11);
1201
        wire_altfp_div_pst1_w_man_a_not_zero_w_range154w(0) <= man_a_not_zero_w(12);
1202
        wire_altfp_div_pst1_w_man_a_not_zero_w_range160w(0) <= man_a_not_zero_w(13);
1203
        wire_altfp_div_pst1_w_man_a_not_zero_w_range166w(0) <= man_a_not_zero_w(14);
1204
        wire_altfp_div_pst1_w_man_a_not_zero_w_range172w(0) <= man_a_not_zero_w(15);
1205
        wire_altfp_div_pst1_w_man_a_not_zero_w_range178w(0) <= man_a_not_zero_w(16);
1206
        wire_altfp_div_pst1_w_man_a_not_zero_w_range184w(0) <= man_a_not_zero_w(17);
1207
        wire_altfp_div_pst1_w_man_a_not_zero_w_range190w(0) <= man_a_not_zero_w(18);
1208
        wire_altfp_div_pst1_w_man_a_not_zero_w_range196w(0) <= man_a_not_zero_w(19);
1209
        wire_altfp_div_pst1_w_man_a_not_zero_w_range88w(0) <= man_a_not_zero_w(1);
1210
        wire_altfp_div_pst1_w_man_a_not_zero_w_range202w(0) <= man_a_not_zero_w(20);
1211
        wire_altfp_div_pst1_w_man_a_not_zero_w_range208w(0) <= man_a_not_zero_w(21);
1212
        wire_altfp_div_pst1_w_man_a_not_zero_w_range214w(0) <= man_a_not_zero_w(22);
1213
        wire_altfp_div_pst1_w_man_a_not_zero_w_range94w(0) <= man_a_not_zero_w(2);
1214
        wire_altfp_div_pst1_w_man_a_not_zero_w_range100w(0) <= man_a_not_zero_w(3);
1215
        wire_altfp_div_pst1_w_man_a_not_zero_w_range106w(0) <= man_a_not_zero_w(4);
1216
        wire_altfp_div_pst1_w_man_a_not_zero_w_range112w(0) <= man_a_not_zero_w(5);
1217
        wire_altfp_div_pst1_w_man_a_not_zero_w_range118w(0) <= man_a_not_zero_w(6);
1218
        wire_altfp_div_pst1_w_man_a_not_zero_w_range124w(0) <= man_a_not_zero_w(7);
1219
        wire_altfp_div_pst1_w_man_a_not_zero_w_range130w(0) <= man_a_not_zero_w(8);
1220
        wire_altfp_div_pst1_w_man_a_not_zero_w_range136w(0) <= man_a_not_zero_w(9);
1221
        wire_altfp_div_pst1_w_man_b_not_zero_w_range85w(0) <= man_b_not_zero_w(0);
1222
        wire_altfp_div_pst1_w_man_b_not_zero_w_range145w(0) <= man_b_not_zero_w(10);
1223
        wire_altfp_div_pst1_w_man_b_not_zero_w_range151w(0) <= man_b_not_zero_w(11);
1224
        wire_altfp_div_pst1_w_man_b_not_zero_w_range157w(0) <= man_b_not_zero_w(12);
1225
        wire_altfp_div_pst1_w_man_b_not_zero_w_range163w(0) <= man_b_not_zero_w(13);
1226
        wire_altfp_div_pst1_w_man_b_not_zero_w_range169w(0) <= man_b_not_zero_w(14);
1227
        wire_altfp_div_pst1_w_man_b_not_zero_w_range175w(0) <= man_b_not_zero_w(15);
1228
        wire_altfp_div_pst1_w_man_b_not_zero_w_range181w(0) <= man_b_not_zero_w(16);
1229
        wire_altfp_div_pst1_w_man_b_not_zero_w_range187w(0) <= man_b_not_zero_w(17);
1230
        wire_altfp_div_pst1_w_man_b_not_zero_w_range193w(0) <= man_b_not_zero_w(18);
1231
        wire_altfp_div_pst1_w_man_b_not_zero_w_range199w(0) <= man_b_not_zero_w(19);
1232
        wire_altfp_div_pst1_w_man_b_not_zero_w_range91w(0) <= man_b_not_zero_w(1);
1233
        wire_altfp_div_pst1_w_man_b_not_zero_w_range205w(0) <= man_b_not_zero_w(20);
1234
        wire_altfp_div_pst1_w_man_b_not_zero_w_range211w(0) <= man_b_not_zero_w(21);
1235
        wire_altfp_div_pst1_w_man_b_not_zero_w_range217w(0) <= man_b_not_zero_w(22);
1236
        wire_altfp_div_pst1_w_man_b_not_zero_w_range97w(0) <= man_b_not_zero_w(2);
1237
        wire_altfp_div_pst1_w_man_b_not_zero_w_range103w(0) <= man_b_not_zero_w(3);
1238
        wire_altfp_div_pst1_w_man_b_not_zero_w_range109w(0) <= man_b_not_zero_w(4);
1239
        wire_altfp_div_pst1_w_man_b_not_zero_w_range115w(0) <= man_b_not_zero_w(5);
1240
        wire_altfp_div_pst1_w_man_b_not_zero_w_range121w(0) <= man_b_not_zero_w(6);
1241
        wire_altfp_div_pst1_w_man_b_not_zero_w_range127w(0) <= man_b_not_zero_w(7);
1242
        wire_altfp_div_pst1_w_man_b_not_zero_w_range133w(0) <= man_b_not_zero_w(8);
1243
        wire_altfp_div_pst1_w_man_b_not_zero_w_range139w(0) <= man_b_not_zero_w(9);
1244
        wire_altfp_div_pst1_w_remainder_j_w_range361w <= remainder_j_w(49 DOWNTO 0);
1245
        wire_altfp_div_pst1_w_sticky_bits_range404w(0) <= sticky_bits(0);
1246
        wire_altfp_div_pst1_w_sticky_bits_range407w(0) <= sticky_bits(1);
1247
        wire_altfp_div_pst1_w_sticky_bits_range410w(0) <= sticky_bits(2);
1248
        wire_altfp_div_pst1_w_sticky_bits_range413w(0) <= sticky_bits(3);
1249
        wire_altfp_div_pst1_w_w_quotient_accumulate_w_range384w_range385w <= quotient_accumulate_w(30 DOWNTO 14);
1250
        altsyncram3 :  altsyncram
1251
          GENERIC MAP (
1252
                INIT_FILE => "CI_ALTFP_DIV.hex",
1253
                OPERATION_MODE => "ROM",
1254
                WIDTH_A => 9,
1255
                WIDTHAD_A => 9,
1256
                INTENDED_DEVICE_FAMILY => "Stratix"
1257
          )
1258
          PORT MAP (
1259
                address_a => datab(22 DOWNTO 14),
1260
                clock0 => clock,
1261
                clocken0 => clk_en,
1262
                q_a => wire_altsyncram3_q_a
1263
          );
1264
        PROCESS (clock, aclr)
1265
        BEGIN
1266
                IF (aclr = '1') THEN a_is_infinity_dffe_0 <= '0';
1267
                ELSIF (clock = '1' AND clock'event) THEN
1268
                        IF (clk_en = '1') THEN a_is_infinity_dffe_0 <= a_is_infinity_w;
1269
                        END IF;
1270
                END IF;
1271
        END PROCESS;
1272
        PROCESS (clock, aclr)
1273
        BEGIN
1274
                IF (aclr = '1') THEN a_is_infinity_dffe_1 <= '0';
1275
                ELSIF (clock = '1' AND clock'event) THEN
1276
                        IF (clk_en = '1') THEN a_is_infinity_dffe_1 <= a_is_infinity_dffe_0;
1277
                        END IF;
1278
                END IF;
1279
        END PROCESS;
1280
        wire_a_is_infinity_dffe_1_w_lg_q318w(0) <= NOT a_is_infinity_dffe_1;
1281
        PROCESS (clock, aclr)
1282
        BEGIN
1283
                IF (aclr = '1') THEN a_is_infinity_dffe_2 <= '0';
1284
                ELSIF (clock = '1' AND clock'event) THEN
1285
                        IF (clk_en = '1') THEN a_is_infinity_dffe_2 <= a_is_infinity_dffe_1;
1286
                        END IF;
1287
                END IF;
1288
        END PROCESS;
1289
        PROCESS (clock, aclr)
1290
        BEGIN
1291
                IF (aclr = '1') THEN a_is_infinity_dffe_3 <= '0';
1292
                ELSIF (clock = '1' AND clock'event) THEN
1293
                        IF (clk_en = '1') THEN a_is_infinity_dffe_3 <= a_is_infinity_dffe_2;
1294
                        END IF;
1295
                END IF;
1296
        END PROCESS;
1297
        PROCESS (clock, aclr)
1298
        BEGIN
1299
                IF (aclr = '1') THEN a_is_infinity_dffe_4 <= '0';
1300
                ELSIF (clock = '1' AND clock'event) THEN
1301
                        IF (clk_en = '1') THEN a_is_infinity_dffe_4 <= a_is_infinity_dffe_3;
1302
                        END IF;
1303
                END IF;
1304
        END PROCESS;
1305
        wire_a_is_infinity_dffe_4_w_lg_q437w(0) <= NOT a_is_infinity_dffe_4;
1306
        PROCESS (clock, aclr)
1307
        BEGIN
1308
                IF (aclr = '1') THEN a_zero_b_not_dffe_0 <= '0';
1309
                ELSIF (clock = '1' AND clock'event) THEN
1310
                        IF (clk_en = '1') THEN a_zero_b_not_dffe_0 <= a_zero_b_not;
1311
                        END IF;
1312
                END IF;
1313
        END PROCESS;
1314
        PROCESS (clock, aclr)
1315
        BEGIN
1316
                IF (aclr = '1') THEN a_zero_b_not_dffe_1 <= '0';
1317
                ELSIF (clock = '1' AND clock'event) THEN
1318
                        IF (clk_en = '1') THEN a_zero_b_not_dffe_1 <= a_zero_b_not_dffe_0;
1319
                        END IF;
1320
                END IF;
1321
        END PROCESS;
1322
        wire_a_zero_b_not_dffe_1_w_lg_q326w(0) <= NOT a_zero_b_not_dffe_1;
1323
        PROCESS (clock, aclr)
1324
        BEGIN
1325
                IF (aclr = '1') THEN a_zero_b_not_dffe_2 <= '0';
1326
                ELSIF (clock = '1' AND clock'event) THEN
1327
                        IF (clk_en = '1') THEN a_zero_b_not_dffe_2 <= a_zero_b_not_dffe_1;
1328
                        END IF;
1329
                END IF;
1330
        END PROCESS;
1331
        PROCESS (clock, aclr)
1332
        BEGIN
1333
                IF (aclr = '1') THEN a_zero_b_not_dffe_3 <= '0';
1334
                ELSIF (clock = '1' AND clock'event) THEN
1335
                        IF (clk_en = '1') THEN a_zero_b_not_dffe_3 <= a_zero_b_not_dffe_2;
1336
                        END IF;
1337
                END IF;
1338
        END PROCESS;
1339
        PROCESS (clock, aclr)
1340
        BEGIN
1341
                IF (aclr = '1') THEN a_zero_b_not_dffe_4 <= '0';
1342
                ELSIF (clock = '1' AND clock'event) THEN
1343
                        IF (clk_en = '1') THEN a_zero_b_not_dffe_4 <= a_zero_b_not_dffe_3;
1344
                        END IF;
1345
                END IF;
1346
        END PROCESS;
1347
        PROCESS (clock, aclr)
1348
        BEGIN
1349
                IF (aclr = '1') THEN b1_dffe_0 <= (OTHERS => '0');
1350
                ELSIF (clock = '1' AND clock'event) THEN
1351
                        IF (clk_en = '1') THEN b1_dffe_0 <= wire_b1_prod_result;
1352
                        END IF;
1353
                END IF;
1354
        END PROCESS;
1355
        PROCESS (clock, aclr)
1356
        BEGIN
1357
                IF (aclr = '1') THEN b_is_infinity_dffe_0 <= '0';
1358
                ELSIF (clock = '1' AND clock'event) THEN
1359
                        IF (clk_en = '1') THEN b_is_infinity_dffe_0 <= b_is_infinity_w;
1360
                        END IF;
1361
                END IF;
1362
        END PROCESS;
1363
        PROCESS (clock, aclr)
1364
        BEGIN
1365
                IF (aclr = '1') THEN b_is_infinity_dffe_1 <= '0';
1366
                ELSIF (clock = '1' AND clock'event) THEN
1367
                        IF (clk_en = '1') THEN b_is_infinity_dffe_1 <= b_is_infinity_dffe_0;
1368
                        END IF;
1369
                END IF;
1370
        END PROCESS;
1371
        wire_b_is_infinity_dffe_1_w_lg_q325w(0) <= NOT b_is_infinity_dffe_1;
1372
        PROCESS (clock, aclr)
1373
        BEGIN
1374
                IF (aclr = '1') THEN b_is_infinity_dffe_2 <= '0';
1375
                ELSIF (clock = '1' AND clock'event) THEN
1376
                        IF (clk_en = '1') THEN b_is_infinity_dffe_2 <= b_is_infinity_dffe_1;
1377
                        END IF;
1378
                END IF;
1379
        END PROCESS;
1380
        PROCESS (clock, aclr)
1381
        BEGIN
1382
                IF (aclr = '1') THEN b_is_infinity_dffe_3 <= '0';
1383
                ELSIF (clock = '1' AND clock'event) THEN
1384
                        IF (clk_en = '1') THEN b_is_infinity_dffe_3 <= b_is_infinity_dffe_2;
1385
                        END IF;
1386
                END IF;
1387
        END PROCESS;
1388
        PROCESS (clock, aclr)
1389
        BEGIN
1390
                IF (aclr = '1') THEN b_is_infinity_dffe_4 <= '0';
1391
                ELSIF (clock = '1' AND clock'event) THEN
1392
                        IF (clk_en = '1') THEN b_is_infinity_dffe_4 <= b_is_infinity_dffe_3;
1393
                        END IF;
1394
                END IF;
1395
        END PROCESS;
1396
        wire_b_is_infinity_dffe_4_w_lg_q438w(0) <= b_is_infinity_dffe_4 AND wire_a_is_infinity_dffe_4_w_lg_q437w(0);
1397
        PROCESS (clock, aclr)
1398
        BEGIN
1399
                IF (aclr = '1') THEN both_exp_zeros_dffe <= '0';
1400
                ELSIF (clock = '1' AND clock'event) THEN
1401
                        IF (clk_en = '1') THEN both_exp_zeros_dffe <= ((NOT exp_b_not_zero_w(7)) AND wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w(0));
1402
                        END IF;
1403
                END IF;
1404
        END PROCESS;
1405
        PROCESS (clock, aclr)
1406
        BEGIN
1407
                IF (aclr = '1') THEN divbyzero_pipe_dffe_0 <= '0';
1408
                ELSIF (clock = '1' AND clock'event) THEN
1409
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_0 <= ((((NOT exp_b_not_zero_w(7)) AND wire_altfp_div_pst1_w_lg_a_is_nan_w234w(0)) AND exp_a_not_zero_w(7)) AND wire_altfp_div_pst1_w_lg_a_is_infinity_w233w(0));
1410
                        END IF;
1411
                END IF;
1412
        END PROCESS;
1413
        PROCESS (clock, aclr)
1414
        BEGIN
1415
                IF (aclr = '1') THEN divbyzero_pipe_dffe_1 <= '0';
1416
                ELSIF (clock = '1' AND clock'event) THEN
1417
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_1 <= divbyzero_pipe_dffe_0;
1418
                        END IF;
1419
                END IF;
1420
        END PROCESS;
1421
        wire_divbyzero_pipe_dffe_1_w_lg_q317w(0) <= NOT divbyzero_pipe_dffe_1;
1422
        PROCESS (clock, aclr)
1423
        BEGIN
1424
                IF (aclr = '1') THEN divbyzero_pipe_dffe_2 <= '0';
1425
                ELSIF (clock = '1' AND clock'event) THEN
1426
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_2 <= divbyzero_pipe_dffe_1;
1427
                        END IF;
1428
                END IF;
1429
        END PROCESS;
1430
        PROCESS (clock, aclr)
1431
        BEGIN
1432
                IF (aclr = '1') THEN divbyzero_pipe_dffe_3 <= '0';
1433
                ELSIF (clock = '1' AND clock'event) THEN
1434
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_3 <= divbyzero_pipe_dffe_2;
1435
                        END IF;
1436
                END IF;
1437
        END PROCESS;
1438
        PROCESS (clock, aclr)
1439
        BEGIN
1440
                IF (aclr = '1') THEN divbyzero_pipe_dffe_4 <= '0';
1441
                ELSIF (clock = '1' AND clock'event) THEN
1442
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_4 <= divbyzero_pipe_dffe_3;
1443
                        END IF;
1444
                END IF;
1445
        END PROCESS;
1446
        PROCESS (clock, aclr)
1447
        BEGIN
1448
                IF (aclr = '1') THEN divbyzero_pipe_dffe_5 <= '0';
1449
                ELSIF (clock = '1' AND clock'event) THEN
1450
                        IF (clk_en = '1') THEN divbyzero_pipe_dffe_5 <= divbyzero_pipe_dffe_4;
1451
                        END IF;
1452
                END IF;
1453
        END PROCESS;
1454
        PROCESS (clock, aclr)
1455
        BEGIN
1456
                IF (aclr = '1') THEN e1_dffe_0 <= (OTHERS => '0');
1457
                ELSIF (clock = '1' AND clock'event) THEN
1458
                        IF (clk_en = '1') THEN e1_dffe_0 <= wire_altfp_div_pst1_w_e1_w_range357w;
1459
                        END IF;
1460
                END IF;
1461
        END PROCESS;
1462
        PROCESS (clock, aclr)
1463
        BEGIN
1464
                IF (aclr = '1') THEN e1_dffe_1 <= (OTHERS => '0');
1465
                ELSIF (clock = '1' AND clock'event) THEN
1466
                        IF (clk_en = '1') THEN e1_dffe_1 <= wire_altfp_div_pst1_w_e1_w_range367w;
1467
                        END IF;
1468
                END IF;
1469
        END PROCESS;
1470
        PROCESS (clock, aclr)
1471
        BEGIN
1472
                IF (aclr = '1') THEN exp_result_dffe_0 <= (OTHERS => '0');
1473
                ELSIF (clock = '1' AND clock'event) THEN
1474
                        IF (clk_en = '1') THEN exp_result_dffe_0 <= exp_result_mux_out;
1475
                        END IF;
1476
                END IF;
1477
        END PROCESS;
1478
        PROCESS (clock, aclr)
1479
        BEGIN
1480
                IF (aclr = '1') THEN exp_result_dffe_1 <= (OTHERS => '0');
1481
                ELSIF (clock = '1' AND clock'event) THEN
1482
                        IF (clk_en = '1') THEN exp_result_dffe_1 <= exp_result_dffe_0;
1483
                        END IF;
1484
                END IF;
1485
        END PROCESS;
1486
        PROCESS (clock, aclr)
1487
        BEGIN
1488
                IF (aclr = '1') THEN exp_result_dffe_2 <= (OTHERS => '0');
1489
                ELSIF (clock = '1' AND clock'event) THEN
1490
                        IF (clk_en = '1') THEN exp_result_dffe_2 <= exp_result_dffe_1;
1491
                        END IF;
1492
                END IF;
1493
        END PROCESS;
1494
        PROCESS (clock, aclr)
1495
        BEGIN
1496
                IF (aclr = '1') THEN exp_result_dffe_3 <= (OTHERS => '0');
1497
                ELSIF (clock = '1' AND clock'event) THEN
1498
                        IF (clk_en = '1') THEN exp_result_dffe_3 <= exp_result_dffe_2;
1499
                        END IF;
1500
                END IF;
1501
        END PROCESS;
1502
        PROCESS (clock, aclr)
1503
        BEGIN
1504
                IF (aclr = '1') THEN frac_a_smaller_dffe1 <= '0';
1505
                ELSIF (clock = '1' AND clock'event) THEN
1506
                        IF (clk_en = '1') THEN frac_a_smaller_dffe1 <= frac_a_smaller_dffe1_wi;
1507
                        END IF;
1508
                END IF;
1509
        END PROCESS;
1510
        PROCESS (clock, aclr)
1511
        BEGIN
1512
                IF (aclr = '1') THEN man_a_dffe1_dffe1 <= (OTHERS => '0');
1513
                ELSIF (clock = '1' AND clock'event) THEN
1514
                        IF (clk_en = '1') THEN man_a_dffe1_dffe1 <= man_a_dffe1_wi;
1515
                        END IF;
1516
                END IF;
1517
        END PROCESS;
1518
        PROCESS (clock, aclr)
1519
        BEGIN
1520
                IF (aclr = '1') THEN man_b_dffe1_dffe1 <= (OTHERS => '0');
1521
                ELSIF (clock = '1' AND clock'event) THEN
1522
                        IF (clk_en = '1') THEN man_b_dffe1_dffe1 <= man_b_dffe1_wi;
1523
                        END IF;
1524
                END IF;
1525
        END PROCESS;
1526
        PROCESS (clock, aclr)
1527
        BEGIN
1528
                IF (aclr = '1') THEN man_result_dffe <= (OTHERS => '0');
1529
                ELSIF (clock = '1' AND clock'event) THEN
1530
                        IF (clk_en = '1') THEN man_result_dffe <= man_result_dffe_wi;
1531
                        END IF;
1532
                END IF;
1533
        END PROCESS;
1534
        PROCESS (clock, aclr)
1535
        BEGIN
1536
                IF (aclr = '1') THEN nan_pipe_dffe_0 <= '0';
1537
                ELSIF (clock = '1' AND clock'event) THEN
1538
                        IF (clk_en = '1') THEN nan_pipe_dffe_0 <= (((a_is_nan_w OR b_is_nan_w) OR (a_is_infinity_w AND b_is_infinity_w)) OR (wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w(0) AND (NOT exp_b_not_zero_w(7))));
1539
                        END IF;
1540
                END IF;
1541
        END PROCESS;
1542
        PROCESS (clock, aclr)
1543
        BEGIN
1544
                IF (aclr = '1') THEN nan_pipe_dffe_1 <= '0';
1545
                ELSIF (clock = '1' AND clock'event) THEN
1546
                        IF (clk_en = '1') THEN nan_pipe_dffe_1 <= nan_pipe_dffe_0;
1547
                        END IF;
1548
                END IF;
1549
        END PROCESS;
1550
        wire_nan_pipe_dffe_1_w_lg_q308w(0) <= NOT nan_pipe_dffe_1;
1551
        PROCESS (clock, aclr)
1552
        BEGIN
1553
                IF (aclr = '1') THEN nan_pipe_dffe_2 <= '0';
1554
                ELSIF (clock = '1' AND clock'event) THEN
1555
                        IF (clk_en = '1') THEN nan_pipe_dffe_2 <= nan_pipe_dffe_1;
1556
                        END IF;
1557
                END IF;
1558
        END PROCESS;
1559
        PROCESS (clock, aclr)
1560
        BEGIN
1561
                IF (aclr = '1') THEN nan_pipe_dffe_3 <= '0';
1562
                ELSIF (clock = '1' AND clock'event) THEN
1563
                        IF (clk_en = '1') THEN nan_pipe_dffe_3 <= nan_pipe_dffe_2;
1564
                        END IF;
1565
                END IF;
1566
        END PROCESS;
1567
        PROCESS (clock, aclr)
1568
        BEGIN
1569
                IF (aclr = '1') THEN nan_pipe_dffe_4 <= '0';
1570
                ELSIF (clock = '1' AND clock'event) THEN
1571
                        IF (clk_en = '1') THEN nan_pipe_dffe_4 <= nan_pipe_dffe_3;
1572
                        END IF;
1573
                END IF;
1574
        END PROCESS;
1575
        wire_nan_pipe_dffe_4_w_lg_q436w(0) <= NOT nan_pipe_dffe_4;
1576
        PROCESS (clock, aclr)
1577
        BEGIN
1578
                IF (aclr = '1') THEN nan_pipe_dffe_5 <= '0';
1579
                ELSIF (clock = '1' AND clock'event) THEN
1580
                        IF (clk_en = '1') THEN nan_pipe_dffe_5 <= nan_pipe_dffe_4;
1581
                        END IF;
1582
                END IF;
1583
        END PROCESS;
1584
        PROCESS (clock, aclr)
1585
        BEGIN
1586
                IF (aclr = '1') THEN overflow_dffe_0 <= '0';
1587
                ELSIF (clock = '1' AND clock'event) THEN
1588
                        IF (clk_en = '1') THEN overflow_dffe_0 <= overflow_w;
1589
                        END IF;
1590
                END IF;
1591
        END PROCESS;
1592
        PROCESS (clock, aclr)
1593
        BEGIN
1594
                IF (aclr = '1') THEN overflow_dffe_1 <= '0';
1595
                ELSIF (clock = '1' AND clock'event) THEN
1596
                        IF (clk_en = '1') THEN overflow_dffe_1 <= overflow_dffe_0;
1597
                        END IF;
1598
                END IF;
1599
        END PROCESS;
1600
        PROCESS (clock, aclr)
1601
        BEGIN
1602
                IF (aclr = '1') THEN overflow_dffe_2 <= '0';
1603
                ELSIF (clock = '1' AND clock'event) THEN
1604
                        IF (clk_en = '1') THEN overflow_dffe_2 <= overflow_dffe_1;
1605
                        END IF;
1606
                END IF;
1607
        END PROCESS;
1608
        PROCESS (clock, aclr)
1609
        BEGIN
1610
                IF (aclr = '1') THEN overflow_dffe_3 <= '0';
1611
                ELSIF (clock = '1' AND clock'event) THEN
1612
                        IF (clk_en = '1') THEN overflow_dffe_3 <= overflow_dffe_2;
1613
                        END IF;
1614
                END IF;
1615
        END PROCESS;
1616
        PROCESS (clock, aclr)
1617
        BEGIN
1618
                IF (aclr = '1') THEN quotient_j_dffe <= (OTHERS => '0');
1619
                ELSIF (clock = '1' AND clock'event) THEN
1620
                        IF (clk_en = '1') THEN quotient_j_dffe <= wire_q_partial_0_w_result_range372w;
1621
                        END IF;
1622
                END IF;
1623
        END PROCESS;
1624
        PROCESS (clock, aclr)
1625
        BEGIN
1626
                IF (aclr = '1') THEN quotient_k_dffe_0 <= (OTHERS => '0');
1627
                ELSIF (clock = '1' AND clock'event) THEN
1628
                        IF (clk_en = '1') THEN quotient_k_dffe_0 <= wire_altfp_div_pst1_w_w_quotient_accumulate_w_range384w_range385w;
1629
                        END IF;
1630
                END IF;
1631
        END PROCESS;
1632
        PROCESS (clock, aclr)
1633
        BEGIN
1634
                IF (aclr = '1') THEN remainder_j_dffe_0 <= (OTHERS => '0');
1635
                ELSIF (clock = '1' AND clock'event) THEN
1636
                        IF (clk_en = '1') THEN remainder_j_dffe_0 <= wire_altfp_div_pst1_w_remainder_j_w_range361w;
1637
                        END IF;
1638
                END IF;
1639
        END PROCESS;
1640
        PROCESS (clock, aclr)
1641
        BEGIN
1642
                IF (aclr = '1') THEN remainder_j_dffe_1 <= (OTHERS => '0');
1643
                ELSIF (clock = '1' AND clock'event) THEN
1644
                        IF (clk_en = '1') THEN remainder_j_dffe_1 <= remainder_j_dffe_0;
1645
                        END IF;
1646
                END IF;
1647
        END PROCESS;
1648
        PROCESS (clock, aclr)
1649
        BEGIN
1650
                IF (aclr = '1') THEN sign_pipe_dffe_0 <= '0';
1651
                ELSIF (clock = '1' AND clock'event) THEN
1652
                        IF (clk_en = '1') THEN sign_pipe_dffe_0 <= (dataa(31) XOR datab(31));
1653
                        END IF;
1654
                END IF;
1655
        END PROCESS;
1656
        PROCESS (clock, aclr)
1657
        BEGIN
1658
                IF (aclr = '1') THEN sign_pipe_dffe_1 <= '0';
1659
                ELSIF (clock = '1' AND clock'event) THEN
1660
                        IF (clk_en = '1') THEN sign_pipe_dffe_1 <= sign_pipe_dffe_0;
1661
                        END IF;
1662
                END IF;
1663
        END PROCESS;
1664
        PROCESS (clock, aclr)
1665
        BEGIN
1666
                IF (aclr = '1') THEN sign_pipe_dffe_2 <= '0';
1667
                ELSIF (clock = '1' AND clock'event) THEN
1668
                        IF (clk_en = '1') THEN sign_pipe_dffe_2 <= sign_pipe_dffe_1;
1669
                        END IF;
1670
                END IF;
1671
        END PROCESS;
1672
        PROCESS (clock, aclr)
1673
        BEGIN
1674
                IF (aclr = '1') THEN sign_pipe_dffe_3 <= '0';
1675
                ELSIF (clock = '1' AND clock'event) THEN
1676
                        IF (clk_en = '1') THEN sign_pipe_dffe_3 <= sign_pipe_dffe_2;
1677
                        END IF;
1678
                END IF;
1679
        END PROCESS;
1680
        PROCESS (clock, aclr)
1681
        BEGIN
1682
                IF (aclr = '1') THEN sign_pipe_dffe_4 <= '0';
1683
                ELSIF (clock = '1' AND clock'event) THEN
1684
                        IF (clk_en = '1') THEN sign_pipe_dffe_4 <= sign_pipe_dffe_3;
1685
                        END IF;
1686
                END IF;
1687
        END PROCESS;
1688
        PROCESS (clock, aclr)
1689
        BEGIN
1690
                IF (aclr = '1') THEN sign_pipe_dffe_5 <= '0';
1691
                ELSIF (clock = '1' AND clock'event) THEN
1692
                        IF (clk_en = '1') THEN sign_pipe_dffe_5 <= sign_pipe_dffe_4;
1693
                        END IF;
1694
                END IF;
1695
        END PROCESS;
1696
        PROCESS (clock, aclr)
1697
        BEGIN
1698
                IF (aclr = '1') THEN underflow_dffe_0 <= '0';
1699
                ELSIF (clock = '1' AND clock'event) THEN
1700
                        IF (clk_en = '1') THEN underflow_dffe_0 <= underflow_w;
1701
                        END IF;
1702
                END IF;
1703
        END PROCESS;
1704
        PROCESS (clock, aclr)
1705
        BEGIN
1706
                IF (aclr = '1') THEN underflow_dffe_1 <= '0';
1707
                ELSIF (clock = '1' AND clock'event) THEN
1708
                        IF (clk_en = '1') THEN underflow_dffe_1 <= underflow_dffe_0;
1709
                        END IF;
1710
                END IF;
1711
        END PROCESS;
1712
        PROCESS (clock, aclr)
1713
        BEGIN
1714
                IF (aclr = '1') THEN underflow_dffe_2 <= '0';
1715
                ELSIF (clock = '1' AND clock'event) THEN
1716
                        IF (clk_en = '1') THEN underflow_dffe_2 <= underflow_dffe_1;
1717
                        END IF;
1718
                END IF;
1719
        END PROCESS;
1720
        PROCESS (clock, aclr)
1721
        BEGIN
1722
                IF (aclr = '1') THEN underflow_dffe_3 <= '0';
1723
                ELSIF (clock = '1' AND clock'event) THEN
1724
                        IF (clk_en = '1') THEN underflow_dffe_3 <= underflow_dffe_2;
1725
                        END IF;
1726
                END IF;
1727
        END PROCESS;
1728
        PROCESS (clock, aclr)
1729
        BEGIN
1730
                IF (aclr = '1') THEN zero_dffe <= '0';
1731
                ELSIF (clock = '1' AND clock'event) THEN
1732
                        IF (clk_en = '1') THEN zero_dffe <= zero_dffe_wi;
1733
                        END IF;
1734
                END IF;
1735
        END PROCESS;
1736
        PROCESS (clock, aclr)
1737
        BEGIN
1738
                IF (aclr = '1') THEN zero_pipe_dffe_0 <= '0';
1739
                ELSIF (clock = '1' AND clock'event) THEN
1740
                        IF (clk_en = '1') THEN zero_pipe_dffe_0 <= wire_altfp_div_pst1_w_lg_w_exp_a_not_zero_w_range72w226w(0);
1741
                        END IF;
1742
                END IF;
1743
        END PROCESS;
1744
        PROCESS (clock, aclr)
1745
        BEGIN
1746
                IF (aclr = '1') THEN zero_pipe_dffe_1 <= '0';
1747
                ELSIF (clock = '1' AND clock'event) THEN
1748
                        IF (clk_en = '1') THEN zero_pipe_dffe_1 <= zero_pipe_dffe_0;
1749
                        END IF;
1750
                END IF;
1751
        END PROCESS;
1752
        PROCESS (clock, aclr)
1753
        BEGIN
1754
                IF (aclr = '1') THEN zero_pipe_dffe_2 <= '0';
1755
                ELSIF (clock = '1' AND clock'event) THEN
1756
                        IF (clk_en = '1') THEN zero_pipe_dffe_2 <= zero_pipe_dffe_1;
1757
                        END IF;
1758
                END IF;
1759
        END PROCESS;
1760
        PROCESS (clock, aclr)
1761
        BEGIN
1762
                IF (aclr = '1') THEN zero_pipe_dffe_3 <= '0';
1763
                ELSIF (clock = '1' AND clock'event) THEN
1764
                        IF (clk_en = '1') THEN zero_pipe_dffe_3 <= zero_pipe_dffe_2;
1765
                        END IF;
1766
                END IF;
1767
        END PROCESS;
1768
        PROCESS (clock, aclr)
1769
        BEGIN
1770
                IF (aclr = '1') THEN zero_pipe_dffe_4 <= '0';
1771
                ELSIF (clock = '1' AND clock'event) THEN
1772
                        IF (clk_en = '1') THEN zero_pipe_dffe_4 <= zero_pipe_dffe_3;
1773
                        END IF;
1774
                END IF;
1775
        END PROCESS;
1776
        bias_addition :  lpm_add_sub
1777
          GENERIC MAP (
1778
                LPM_DIRECTION => "ADD",
1779
                LPM_PIPELINE => 1,
1780
                LPM_REPRESENTATION => "SIGNED",
1781
                LPM_WIDTH => 9
1782
          )
1783
          PORT MAP (
1784
                aclr => aclr,
1785
                clken => clk_en,
1786
                clock => clock,
1787
                dataa => exp_sub_w,
1788
                datab => select_bias_out_2_w,
1789
                overflow => wire_bias_addition_overflow,
1790
                result => wire_bias_addition_result
1791
          );
1792
        exp_sub :  lpm_add_sub
1793
          GENERIC MAP (
1794
                LPM_DIRECTION => "SUB",
1795
                LPM_PIPELINE => 1,
1796
                LPM_REPRESENTATION => "SIGNED",
1797
                LPM_WIDTH => 9
1798
          )
1799
          PORT MAP (
1800
                aclr => aclr,
1801
                clken => clk_en,
1802
                clock => clock,
1803
                dataa => exp_sub_a_w,
1804
                datab => exp_sub_b_w,
1805
                result => wire_exp_sub_result
1806
          );
1807
        wire_quotient_process_dataa <= ( quotient_accumulate_w(61 DOWNTO 45) & "00000000000000");
1808
        wire_quotient_process_datab <= ( "00000000000000" & wire_q_partial_1_result(32 DOWNTO 22) & "111111");
1809
        wire_quotient_process_w_result_range424w <= wire_quotient_process_result(28 DOWNTO 6);
1810
        quotient_process :  lpm_add_sub
1811
          GENERIC MAP (
1812
                LPM_DIRECTION => "ADD",
1813
                LPM_REPRESENTATION => "UNSIGNED",
1814
                LPM_WIDTH => 31
1815
          )
1816
          PORT MAP (
1817
                cin => quotient_process_cin_w,
1818
                dataa => wire_quotient_process_dataa,
1819
                datab => wire_quotient_process_datab,
1820
                result => wire_quotient_process_result
1821
          );
1822
        wire_remainder_sub_0_dataa <= ( remainder_j_dffe_1(49 DOWNTO 15) & "000000000000000");
1823
        remainder_sub_0 :  lpm_add_sub
1824
          GENERIC MAP (
1825
                LPM_DIRECTION => "SUB",
1826
                LPM_REPRESENTATION => "UNSIGNED",
1827
                LPM_WIDTH => 50
1828
          )
1829
          PORT MAP (
1830
                dataa => wire_remainder_sub_0_dataa,
1831
                datab => wire_remainder_mult_0_result(49 DOWNTO 0),
1832
                result => wire_remainder_sub_0_result
1833
          );
1834
        cmpr2 :  lpm_compare
1835
          GENERIC MAP (
1836
                LPM_REPRESENTATION => "UNSIGNED",
1837
                LPM_WIDTH => 23
1838
          )
1839
          PORT MAP (
1840
                alb => wire_cmpr2_alb,
1841
                dataa => dataa(22 DOWNTO 0),
1842
                datab => datab(22 DOWNTO 0)
1843
          );
1844
        wire_a1_prod_datab <= ( "1" & e0_dffe1_wo);
1845
        a1_prod :  lpm_mult
1846
          GENERIC MAP (
1847
                LPM_PIPELINE => 1,
1848
                LPM_REPRESENTATION => "UNSIGNED",
1849
                LPM_WIDTHA => 25,
1850
                LPM_WIDTHB => 10,
1851
                LPM_WIDTHP => 35,
1852
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES"
1853
          )
1854
          PORT MAP (
1855
                aclr => aclr,
1856
                clken => clk_en,
1857
                clock => clock,
1858
                dataa => man_a_adjusted_w,
1859
                datab => wire_a1_prod_datab,
1860
                result => wire_a1_prod_result
1861
          );
1862
        loop2 : FOR i IN 0 TO 16 GENERATE
1863
                wire_b1_prod_w_lg_w_result_range358w359w(i) <= NOT wire_b1_prod_w_result_range358w(i);
1864
        END GENERATE loop2;
1865
        wire_b1_prod_datab <= ( "1" & e0_dffe1_wo);
1866
        wire_b1_prod_w_result_range358w <= wire_b1_prod_result(33 DOWNTO 17);
1867
        b1_prod :  lpm_mult
1868
          GENERIC MAP (
1869
                LPM_PIPELINE => 1,
1870
                LPM_REPRESENTATION => "UNSIGNED",
1871
                LPM_WIDTHA => 24,
1872
                LPM_WIDTHB => 10,
1873
                LPM_WIDTHP => 34,
1874
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES"
1875
          )
1876
          PORT MAP (
1877
                aclr => aclr,
1878
                clken => clk_en,
1879
                clock => clock,
1880
                dataa => man_b_adjusted_w,
1881
                datab => wire_b1_prod_datab,
1882
                result => wire_b1_prod_result
1883
          );
1884
        wire_q_partial_0_w_result_range372w <= wire_q_partial_0_result(32 DOWNTO 16);
1885
        q_partial_0 :  lpm_mult
1886
          GENERIC MAP (
1887
                LPM_PIPELINE => 1,
1888
                LPM_REPRESENTATION => "UNSIGNED",
1889
                LPM_WIDTHA => 17,
1890
                LPM_WIDTHB => 17,
1891
                LPM_WIDTHP => 34,
1892
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES"
1893
          )
1894
          PORT MAP (
1895
                aclr => aclr,
1896
                clken => clk_en,
1897
                clock => clock,
1898
                dataa => remainder_j_w(49 DOWNTO 33),
1899
                datab => e1_w(16 DOWNTO 0),
1900
                result => wire_q_partial_0_result
1901
          );
1902
        wire_q_partial_1_w_lg_w_result_range406w408w(0) <= wire_q_partial_1_w_result_range406w(0) OR wire_altfp_div_pst1_w_sticky_bits_range404w(0);
1903
        wire_q_partial_1_w_lg_w_result_range409w411w(0) <= wire_q_partial_1_w_result_range409w(0) OR wire_altfp_div_pst1_w_sticky_bits_range407w(0);
1904
        wire_q_partial_1_w_lg_w_result_range412w414w(0) <= wire_q_partial_1_w_result_range412w(0) OR wire_altfp_div_pst1_w_sticky_bits_range410w(0);
1905
        wire_q_partial_1_w_lg_w_result_range415w417w(0) <= wire_q_partial_1_w_result_range415w(0) OR wire_altfp_div_pst1_w_sticky_bits_range413w(0);
1906
        wire_q_partial_1_w_result_range406w(0) <= wire_q_partial_1_result(17);
1907
        wire_q_partial_1_w_result_range409w(0) <= wire_q_partial_1_result(18);
1908
        wire_q_partial_1_w_result_range412w(0) <= wire_q_partial_1_result(19);
1909
        wire_q_partial_1_w_result_range415w(0) <= wire_q_partial_1_result(20);
1910
        q_partial_1 :  lpm_mult
1911
          GENERIC MAP (
1912
                LPM_PIPELINE => 1,
1913
                LPM_REPRESENTATION => "UNSIGNED",
1914
                LPM_WIDTHA => 17,
1915
                LPM_WIDTHB => 17,
1916
                LPM_WIDTHP => 34,
1917
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES"
1918
          )
1919
          PORT MAP (
1920
                aclr => aclr,
1921
                clken => clk_en,
1922
                clock => clock,
1923
                dataa => remainder_j_w(99 DOWNTO 83),
1924
                datab => e1_w(50 DOWNTO 34),
1925
                result => wire_q_partial_1_result
1926
          );
1927
        remainder_mult_0 :  lpm_mult
1928
          GENERIC MAP (
1929
                LPM_PIPELINE => 1,
1930
                LPM_REPRESENTATION => "UNSIGNED",
1931
                LPM_WIDTHA => 34,
1932
                LPM_WIDTHB => 17,
1933
                LPM_WIDTHP => 51,
1934
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES"
1935
          )
1936
          PORT MAP (
1937
                aclr => aclr,
1938
                clken => clk_en,
1939
                clock => clock,
1940
                dataa => b1_dffe_w(33 DOWNTO 0),
1941
                datab => wire_q_partial_0_result(32 DOWNTO 16),
1942
                result => wire_remainder_mult_0_result
1943
          );
1944
        wire_exp_result_muxa_dataout <= underflow_zeros_w WHEN exp_result_mux_sel_w = '1'  ELSE exp_result_w;
1945
        wire_man_a_adjusteda_dataout <= ( "1" & man_a_dffe1_wo & "0") WHEN frac_a_smaller_dffe1_wo = '1'  ELSE ( "0" & "1" & man_a_dffe1_wo);
1946
        wire_man_result_muxa_dataout <= ( nan_pipe_dffe_4 & man_zeros_w(21 DOWNTO 0)) WHEN man_result_mux_select = '1'  ELSE wire_quotient_process_result(28 DOWNTO 6);
1947
        wire_select_bias_2a_dataout <= value_zero_w WHEN both_exp_zeros = '1'  ELSE select_bias_out_w;
1948
        wire_select_biasa_dataout <= value_normal_w WHEN frac_a_smaller_dffe1_wo = '1'  ELSE value_add_one_w;
1949
 
1950
 END RTL; --CI_ALTFP_DIV_altfp_div_pst_7ji
1951
 
1952
--synthesis_resources = altsyncram 1 lpm_add_sub 4 lpm_compare 1 lpm_mult 5 lut 352 mux21 74 
1953
 LIBRARY ieee;
1954
 USE ieee.std_logic_1164.all;
1955
 
1956
 ENTITY  CI_ALTFP_DIV_altfp_div_3dm IS
1957
         PORT
1958
         (
1959
                 aclr   :       IN  STD_LOGIC := '0';
1960
                 clk_en :       IN  STD_LOGIC := '1';
1961
                 clock  :       IN  STD_LOGIC;
1962
                 dataa  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1963
                 datab  :       IN  STD_LOGIC_VECTOR (31 DOWNTO 0);
1964
                 division_by_zero       :       OUT  STD_LOGIC;
1965
                 nan    :       OUT  STD_LOGIC;
1966
                 overflow       :       OUT  STD_LOGIC;
1967
                 result :       OUT  STD_LOGIC_VECTOR (31 DOWNTO 0);
1968
                 underflow      :       OUT  STD_LOGIC;
1969
                 zero   :       OUT  STD_LOGIC
1970
         );
1971
 END CI_ALTFP_DIV_altfp_div_3dm;
1972
 
1973
 ARCHITECTURE RTL OF CI_ALTFP_DIV_altfp_div_3dm IS
1974
 
1975
         SIGNAL  wire_altfp_div_pst1_division_by_zero   :       STD_LOGIC;
1976
         SIGNAL  wire_altfp_div_pst1_nan        :       STD_LOGIC;
1977
         SIGNAL  wire_altfp_div_pst1_overflow   :       STD_LOGIC;
1978
         SIGNAL  wire_altfp_div_pst1_result     :       STD_LOGIC_VECTOR (31 DOWNTO 0);
1979
         SIGNAL  wire_altfp_div_pst1_underflow  :       STD_LOGIC;
1980
         SIGNAL  wire_altfp_div_pst1_zero       :       STD_LOGIC;
1981
         COMPONENT  CI_ALTFP_DIV_altfp_div_pst_7ji
1982
         PORT
1983
         (
1984
                aclr    :       IN  STD_LOGIC := '0';
1985
                clk_en  :       IN  STD_LOGIC := '1';
1986
                clock   :       IN  STD_LOGIC;
1987
                dataa   :       IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
1988
                datab   :       IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
1989
                division_by_zero        :       OUT  STD_LOGIC;
1990
                nan     :       OUT  STD_LOGIC;
1991
                overflow        :       OUT  STD_LOGIC;
1992
                result  :       OUT  STD_LOGIC_VECTOR(31 DOWNTO 0);
1993
                underflow       :       OUT  STD_LOGIC;
1994
                zero    :       OUT  STD_LOGIC
1995
         );
1996
         END COMPONENT;
1997
 BEGIN
1998
 
1999
        division_by_zero <= wire_altfp_div_pst1_division_by_zero;
2000
        nan <= wire_altfp_div_pst1_nan;
2001
        overflow <= wire_altfp_div_pst1_overflow;
2002
        result <= wire_altfp_div_pst1_result;
2003
        underflow <= wire_altfp_div_pst1_underflow;
2004
        zero <= wire_altfp_div_pst1_zero;
2005
        altfp_div_pst1 :  CI_ALTFP_DIV_altfp_div_pst_7ji
2006
          PORT MAP (
2007
                aclr => aclr,
2008
                clk_en => clk_en,
2009
                clock => clock,
2010
                dataa => dataa,
2011
                datab => datab,
2012
                division_by_zero => wire_altfp_div_pst1_division_by_zero,
2013
                nan => wire_altfp_div_pst1_nan,
2014
                overflow => wire_altfp_div_pst1_overflow,
2015
                result => wire_altfp_div_pst1_result,
2016
                underflow => wire_altfp_div_pst1_underflow,
2017
                zero => wire_altfp_div_pst1_zero
2018
          );
2019
 
2020
 END RTL; --CI_ALTFP_DIV_altfp_div_3dm
2021
--VALID FILE
2022
 
2023
 
2024
LIBRARY ieee;
2025
USE ieee.std_logic_1164.all;
2026
 
2027
ENTITY CI_ALTFP_DIV IS
2028
        PORT
2029
        (
2030
                aclr            : IN STD_LOGIC ;
2031
                clk_en          : IN STD_LOGIC ;
2032
                clock           : IN STD_LOGIC ;
2033
                dataa           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
2034
                datab           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
2035
                division_by_zero                : OUT STD_LOGIC ;
2036
                nan             : OUT STD_LOGIC ;
2037
                overflow                : OUT STD_LOGIC ;
2038
                result          : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
2039
                underflow               : OUT STD_LOGIC ;
2040
                zero            : OUT STD_LOGIC
2041
        );
2042
END CI_ALTFP_DIV;
2043
 
2044
 
2045
ARCHITECTURE RTL OF ci_altfp_div IS
2046
 
2047
        SIGNAL sub_wire0        : STD_LOGIC ;
2048
        SIGNAL sub_wire1        : STD_LOGIC ;
2049
        SIGNAL sub_wire2        : STD_LOGIC ;
2050
        SIGNAL sub_wire3        : STD_LOGIC ;
2051
        SIGNAL sub_wire4        : STD_LOGIC ;
2052
        SIGNAL sub_wire5        : STD_LOGIC_VECTOR (31 DOWNTO 0);
2053
 
2054
 
2055
 
2056
        COMPONENT CI_ALTFP_DIV_altfp_div_3dm
2057
        PORT (
2058
                        division_by_zero        : OUT STD_LOGIC ;
2059
                        dataa   : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
2060
                        datab   : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
2061
                        overflow        : OUT STD_LOGIC ;
2062
                        underflow       : OUT STD_LOGIC ;
2063
                        nan     : OUT STD_LOGIC ;
2064
                        clk_en  : IN STD_LOGIC ;
2065
                        clock   : IN STD_LOGIC ;
2066
                        aclr    : IN STD_LOGIC ;
2067
                        zero    : OUT STD_LOGIC ;
2068
                        result  : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
2069
        );
2070
        END COMPONENT;
2071
 
2072
BEGIN
2073
        division_by_zero    <= sub_wire0;
2074
        overflow    <= sub_wire1;
2075
        underflow    <= sub_wire2;
2076
        nan    <= sub_wire3;
2077
        zero    <= sub_wire4;
2078
        result    <= sub_wire5(31 DOWNTO 0);
2079
 
2080
        CI_ALTFP_DIV_altfp_div_3dm_component : CI_ALTFP_DIV_altfp_div_3dm
2081
        PORT MAP (
2082
                dataa => dataa,
2083
                datab => datab,
2084
                clk_en => clk_en,
2085
                clock => clock,
2086
                aclr => aclr,
2087
                division_by_zero => sub_wire0,
2088
                overflow => sub_wire1,
2089
                underflow => sub_wire2,
2090
                nan => sub_wire3,
2091
                zero => sub_wire4,
2092
                result => sub_wire5
2093
        );
2094
 
2095
 
2096
 
2097
END RTL;
2098
 
2099
-- ============================================================
2100
-- CNX file retrieval info
2101
-- ============================================================
2102
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix"
2103
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
2104
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
2105
-- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO"
2106
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix"
2107
-- Retrieval info: CONSTANT: OPTIMIZE STRING "AREA"
2108
-- Retrieval info: CONSTANT: PIPELINE NUMERIC "6"
2109
-- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO"
2110
-- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8"
2111
-- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23"
2112
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr"
2113
-- Retrieval info: USED_PORT: clk_en 0 0 0 0 INPUT NODEFVAL "clk_en"
2114
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
2115
-- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
2116
-- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
2117
-- Retrieval info: USED_PORT: division_by_zero 0 0 0 0 OUTPUT NODEFVAL "division_by_zero"
2118
-- Retrieval info: USED_PORT: nan 0 0 0 0 OUTPUT NODEFVAL "nan"
2119
-- Retrieval info: USED_PORT: overflow 0 0 0 0 OUTPUT NODEFVAL "overflow"
2120
-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
2121
-- Retrieval info: USED_PORT: underflow 0 0 0 0 OUTPUT NODEFVAL "underflow"
2122
-- Retrieval info: USED_PORT: zero 0 0 0 0 OUTPUT NODEFVAL "zero"
2123
-- Retrieval info: CONNECT: zero 0 0 0 0 @zero 0 0 0 0
2124
-- Retrieval info: CONNECT: @clk_en 0 0 0 0 clk_en 0 0 0 0
2125
-- Retrieval info: CONNECT: nan 0 0 0 0 @nan 0 0 0 0
2126
-- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
2127
-- Retrieval info: CONNECT: underflow 0 0 0 0 @underflow 0 0 0 0
2128
-- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
2129
-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
2130
-- Retrieval info: CONNECT: overflow 0 0 0 0 @overflow 0 0 0 0
2131
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
2132
-- Retrieval info: CONNECT: division_by_zero 0 0 0 0 @division_by_zero 0 0 0 0
2133
-- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
2134
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV.vhd TRUE
2135
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV.inc FALSE
2136
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV.cmp TRUE
2137
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV.bsf TRUE FALSE
2138
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV_inst.vhd TRUE
2139
-- Retrieval info: GEN_FILE: TYPE_NORMAL CI_ALTFP_DIV_syn.v TRUE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.