OpenCores
URL https://opencores.org/ocsvn/connect-6/connect-6/trunk

Subversion Repositories connect-6

[/] [connect-6/] [trunk/] [XILINX/] [BUILD_SCC_SRCH/] [SP6/] [SP6.do_synth] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 sumanta.ch
#!/bin/sh
2
 
3
run_logic_synthesis_only=0;
4
while getopts ":s i" options; do
5
    case $options in
6
        i ) run_logic_synthesis_only=0;;
7
        s ) run_logic_synthesis_only=1;;
8
        ? ) echo $usage
9
        exit 1;;
10
    esac
11
done
12
 
13
export date_of_run=`date +%Y.%m.%d_%H.%M.%S`
14
 
15
mkdir run_$date_of_run
16
rm -f run
17
ln -s run_$date_of_run run
18
 
19
mkdir run/synthesis
20
 
21
if [ $run_logic_synthesis_only -eq 1 ] ; then
22
    touch run/synthesis/.LOGIC_SYNTHESIS_ONLY
23
fi
24
 
25
synplify_premier_dp -batch synplify.tcl
26
if [[ $? != 0 ]]; then exit 1; fi
27
 
28
if [ $run_logic_synthesis_only -ne 1 ] ; then
29
 
30
    mkdir run/implementation
31
    cd run/implementation
32
    ngdbuild -uc ../../SP6.ucf -sd ../../../../coregen/ip_rtl/ -dd _ngo -nt timestamp -p xc6slx45t-fgg484-3 ../synthesis/DE2.edf DE2.ngd
33
    if [[ $? != 0 ]]; then exit 1; fi
34 18 sumanta.ch
        map -u -timing -p xc6slx45t-fgg484-3  -ol high -pr off -detail -logic_opt on -xe n -xt 0 -register_duplication on -r 4 -global_opt off -ir all  -lc off -power off -mt 2  -o DE2_map.ncd DE2.ngd DE2.pcf
35 17 sumanta.ch
    if [[ $? != 0 ]]; then exit 1; fi
36 18 sumanta.ch
    par -ol high -xe n -mt 4 -w -nopad DE2_map.ncd DE2.ncd DE2.pcf
37 17 sumanta.ch
    if [[ $? != 0 ]]; then exit 1; fi
38
    trce -v 20 -u 64 -o DE2.twr DE2.ncd DE2.pcf
39
    if [[ $? != 0 ]]; then exit 1; fi
40
    bitgen -intstyle xflow -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:2 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:Yes -g DriveDone:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 DE2.ncd -g INIT_9K:yes
41
    #if [[ $? != 0 ]]; then exit 1; fi
42
    #setMode -bs
43
    #setCable -port auto
44
    #Identify -inferir
45
    #identifyMPM
46
    #assignFile -p 2 -file"/tmp/BUILD_SCC/imp_connect/rtl_package/synth/synplify_fpga/run/implementation/DE2.bit"
47
    #Program -p 2
48
 
49
    if [[ $? != 0 ]]; then exit 1; fi
50
    cd -
51
 
52
fi
53
exit 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.