OpenCores
URL https://opencores.org/ocsvn/cryptography/cryptography/trunk

Subversion Repositories cryptography

[/] [cryptography/] [trunk/] [decryption/] [decryp.mpf] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 marcus.erl
;
2
; Copyright Model Technology, a Mentor Graphics Corporation company 2004,
3
; All rights reserved.
4
;
5
 
6
[Library]
7
std = $MODEL_TECH/../std
8
ieee = $MODEL_TECH/../ieee
9
verilog = $MODEL_TECH/../verilog
10
vital2000 = $MODEL_TECH/../vital2000
11
std_developerskit = $MODEL_TECH/../std_developerskit
12
synopsys = $MODEL_TECH/../synopsys
13
modelsim_lib = $MODEL_TECH/../modelsim_lib
14
 
15
work = work
16
[vcom]
17
; VHDL93 variable selects language version as the default.
18
; Default is VHDL-2002.
19
; Value of 0 or 1987 for VHDL-1987.
20
; Value of 1 or 1993 for VHDL-1993.
21
; Default or value of 2 or 2002 for VHDL-2002.
22
VHDL93 = 2002
23
 
24
; Show source line containing error. Default is off.
25
; Show_source = 1
26
 
27
; Turn off unbound-component warnings. Default is on.
28
; Show_Warning1 = 0
29
 
30
; Turn off process-without-a-wait-statement warnings. Default is on.
31
; Show_Warning2 = 0
32
 
33
; Turn off null-range warnings. Default is on.
34
; Show_Warning3 = 0
35
 
36
; Turn off no-space-in-time-literal warnings. Default is on.
37
; Show_Warning4 = 0
38
 
39
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
40
; Show_Warning5 = 0
41
 
42
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
43
; Optimize_1164 = 0
44
 
45
; Turn on resolving of ambiguous function overloading in favor of the
46
; "explicit" function declaration (not the one automatically created by
47
; the compiler for each type declaration). Default is off.
48
; The .ini file has Explict enabled so that std_logic_signed/unsigned
49
; will match the behavior of synthesis tools.
50
Explicit = 1
51
 
52
; Turn off acceleration of the VITAL packages. Default is to accelerate.
53
; NoVital = 1
54
 
55
; Turn off VITAL compliance checking. Default is checking on.
56
; NoVitalCheck = 1
57
 
58
; Ignore VITAL compliance checking errors. Default is to not ignore.
59
; IgnoreVitalErrors = 1
60
 
61
; Turn off VITAL compliance checking warnings. Default is to show warnings.
62
; Show_VitalChecksWarnings = 0
63
 
64
; Turn off PSL assertion warning messges. Default is to show warnings.
65
; Show_PslChecksWarnings = 0
66
 
67
; Enable parsing of embedded PSL assertions. Default is enabled.
68
; EmbeddedPsl = 0
69
 
70
; Keep silent about case statement static warnings.
71
; Default is to give a warning.
72
; NoCaseStaticError = 1
73
 
74
; Keep silent about warnings caused by aggregates that are not locally static.
75
; Default is to give a warning.
76
; NoOthersStaticError = 1
77
 
78
; Treat as errors:
79
;   case statement static warnings
80
;   warnings caused by aggregates that are not locally static
81
; Overrides NoCaseStaticError, NoOthersStaticError settings.
82
; PedanticErrors = 1
83
 
84
; Turn off inclusion of debugging info within design units.
85
; Default is to include debugging info.
86
; NoDebug = 1
87
 
88
; Turn off "Loading..." messages. Default is messages on.
89
; Quiet = 1
90
 
91
; Turn on some limited synthesis rule compliance checking. Checks only:
92
;    -- signals used (read) by a process must be in the sensitivity list
93
; CheckSynthesis = 1
94
 
95
; Activate optimizations on expressions that do not involve signals,
96
; waits, or function/procedure/task invocations. Default is off.
97
; ScalarOpts = 1
98
 
99
; Require the user to specify a configuration for all bindings,
100
; and do not generate a compile time default binding for the
101
; component. This will result in an elaboration error of
102
; 'component not bound' if the user fails to do so. Avoids the rare
103
; issue of a false dependency upon the unused default binding.
104
; RequireConfigForAllDefaultBinding = 1
105
 
106
; Inhibit range checking on subscripts of arrays. Range checking on
107
; scalars defined with subtypes is inhibited by default.
108
; NoIndexCheck = 1
109
 
110
; Inhibit range checks on all (implicit and explicit) assignments to
111
; scalar objects defined with subtypes.
112
; NoRangeCheck = 1
113
 
114
[vlog]
115
 
116
; Turn off inclusion of debugging info within design units.
117
; Default is to include debugging info.
118
; NoDebug = 1
119
 
120
; Turn on `protect compiler directive processing.
121
; Default is to ignore `protect directives.
122
; Protect = 1
123
 
124
; Turn off "Loading..." messages. Default is messages on.
125
; Quiet = 1
126
 
127
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
128
; Default is off.
129
; Hazard = 1
130
 
131
; Turn on converting regular Verilog identifiers to uppercase. Allows case
132
; insensitivity for module names. Default is no conversion.
133
; UpCase = 1
134
 
135
; Turn on incremental compilation of modules. Default is off.
136
; Incremental = 1
137
 
138
; Activate optimizations on expressions that do not involve signals,
139
; waits, or function/procedure/task invocations. Default is off.
140
; ScalarOpts = 1
141
 
142
; Turns on lint-style checking.
143
; Show_Lint = 1
144
 
145
; Show source line containing error. Default is off.
146
; Show_source = 1
147
 
148
; Turn on bad option warning. Default is off.
149
; Show_BadOptionWarning = 1
150
 
151
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
152
vlog95compat = 0
153
 
154
[sccom]
155
; Disable SystemC name binding during compilation. Default is off.
156
; NoNameBind = 1
157
 
158
; Enable use of SCV include files and library.  Default is off.
159
; UseScv = 1
160
 
161
; Add C++ compiler options to the sccom command line by using this variable.
162
; CppOptions = -g
163
 
164
; Use custom C++ compiler located at this path rather than ModelSim default.
165
; The path should point directly at a compiler executable.
166
; CppPath = /usr/bin/g++
167
 
168
; Enable verbose messages from sccom.  Default is off.
169
; SccomVerbose = 1
170
 
171
; sccom logfile.  Default is no logfile.
172
; SccomLogfile = sccom.log
173
 
174
 
175
[vsim]
176
; Simulator resolution
177
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
178
resolution = 1ns
179
 
180
; User time unit for run commands
181
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
182
; unit specified for Resolution. For example, if Resolution is 100ps,
183
; then UserTimeUnit defaults to ps.
184
; Should generally be set to default.
185
UserTimeUnit = ns
186
 
187
; Default run length
188
RunLength = 100 ns
189
 
190
; Maximum iterations that can be run without advancing simulation time
191
IterationLimit = 5000
192
 
193
; Directives to license manager can be set either as single value or as
194
; space separated multi-values:
195
; vhdl          Immediately reserve a VHDL license
196
; vlog          Immediately reserve a Verilog license
197
; plus          Immediately reserve a VHDL and Verilog license
198
; nomgc         Do not look for Mentor Graphics Licenses
199
; nomti         Do not look for Model Technology Licenses
200
; noqueue       Do not wait in the license queue when a license is not available
201
; viewsim       Try for viewer license but accept simulator license(s) instead
202
;               of queuing for viewer license (PE ONLY)
203
; Single value:
204
; License = plus
205
; Multi-value:
206
; License = noqueue plus
207
 
208
; Stop the simulator after a VHDL assertion message
209
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
210
BreakOnAssertion = 3
211
 
212
; VHDL assertion Message Format
213
; %S - Severity Level
214
; %R - Report Message
215
; %T - Time of assertion
216
; %D - Delta
217
; %I - Instance or Region pathname (if available)
218
; %i - Instance pathname with process
219
; %O - Process name
220
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
221
; %P - Instance or Region path without leaf process
222
; %F - File
223
; %L - Line number of assertion or, if assertion is in a subprogram, line
224
;      from which the call is made
225
; %% - Print '%' character
226
; If specific format for assertion level is defined, use its format.
227
; If specific format is not define for assertion level, use AssertionFormatBreak
228
; if assertion triggers a breakpoint (controlled by BreakOnAssertion level),
229
; otherwise use AssertionFormat.
230
;
231
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
232
; AssertionFormatBreak   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
233
; AssertionFormatNote    = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
234
; AssertionFormatWarning = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
235
; AssertionFormatError   = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
236
; AssertionFormatFail    = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
237
; AssertionFormatFatal  = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
238
 
239
; Assertion File - alternate file for storing VHDL/PSL assertion messages
240
; AssertFile = assert.log
241
 
242
; Default radix for all windows and commands.
243
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
244
DefaultRadix = symbolic
245
 
246
; VSIM Startup command
247
; Startup = do startup.do
248
 
249
; File for saving command transcript
250
TranscriptFile = transcript
251
 
252
; File for saving command history
253
; CommandHistory = cmdhist.log
254
 
255
; Specify whether paths in simulator commands should be described
256
; in VHDL or Verilog format.
257
; For VHDL, PathSeparator = /
258
; For Verilog, PathSeparator = .
259
; Must not be the same character as DatasetSeparator.
260
PathSeparator = /
261
 
262
; Specify the dataset separator for fully rooted contexts.
263
; The default is ':'. For example: sim:/top
264
; Must not be the same character as PathSeparator.
265
DatasetSeparator = :
266
 
267
; Disable VHDL assertion messages
268
; IgnoreNote = 1
269
; IgnoreWarning = 1
270
; IgnoreError = 1
271
; IgnoreFailure = 1
272
 
273
; Default force kind. May be freeze, drive, or deposit
274
; or in other terms, fixed, wired, or charged.
275
; DefaultForceKind = freeze
276
 
277
; If zero, open files when elaborated; otherwise, open files on
278
; first read or write.  Default is 0.
279
; DelayFileOpen = 1
280
 
281
; Control VHDL files opened for write.
282
;   0 = Buffered, 1 = Unbuffered
283
UnbufferedOutput = 0
284
 
285
; Control the number of VHDL files open concurrently.
286
; This number should always be less than the current ulimit
287
; setting for max file descriptors.
288
;   0 = unlimited
289
ConcurrentFileLimit = 40
290
 
291
; Control the number of hierarchical regions displayed as
292
; part of a signal name shown in the Wave window.
293
; A value of zero tells VSIM to display the full name.
294
; The default is 0.
295
; WaveSignalNameWidth = 0
296
 
297
; Turn off warnings from the std_logic_arith, std_logic_unsigned
298
; and std_logic_signed packages.
299
; StdArithNoWarnings = 1
300
 
301
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
302
; NumericStdNoWarnings = 1
303
 
304
; Control the format of a generate statement label. Do not quote it.
305
; GenerateFormat = %s__%d
306
 
307
; Specify whether checkpoint files should be compressed.
308
; The default is 1 (compressed).
309
; CheckpointCompressMode = 0
310
 
311
; List of dynamically loaded objects for Verilog PLI applications
312
; Veriuser = veriuser.sl
313
 
314
; Specify default options for the restart command. Options can be one
315
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
316
; DefaultRestartOptions = -force
317
 
318
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
319
; (> 500 megabyte memory footprint). Default is disabled.
320
; Specify number of megabytes to lock.
321
; LockedMemory = 1000
322
 
323
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
324
; This is necessary when C++ files have been compiled with aCC's -AA option.
325
; The default behavior is to use /usr/lib/libCsup.sl.
326
; UseCsupV2 = 1
327
 
328
; Turn on (1) or off (0) WLF file compression.
329
; The default is 1 (compress WLF file).
330
; WLFCompress = 0
331
 
332
; Specify whether to save all design hierarchy (1) in the WLF file
333
; or only regions containing logged signals (0).
334
; The default is 0 (log only regions with logged signals).
335
; WLFSaveAllRegions = 1
336
 
337
; WLF file time limit.  Limit WLF file by time, as closely as possible,
338
; to the specified amount of simulation time.  When the limit is exceeded
339
; the earliest times get truncated from the file.
340
; If both time and size limits are specified the most restrictive is used.
341
; UserTimeUnits are used if time units are not specified.
342
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
343
; WLFTimeLimit = 0
344
 
345
; WLF file size limit.  Limit WLF file size, as closely as possible,
346
; to the specified number of megabytes.  If both time and size limits
347
; are specified then the most restrictive is used.
348
; The default is 0 (no limit).
349
; WLFSizeLimit = 1000
350
 
351
; Specify whether or not a WLF file should be deleted when the
352
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
353
; The default is 0 (do not delete WLF file when simulation ends).
354
; WLFDeleteOnQuit = 1
355
 
356
; Specify whether or not a WLF file should be optimized during
357
; simulation.  If set to 0, the WLF file will not be optimized.
358
; The default is 1, optimize the WLF file.
359
; WLFOptimize = 0
360
 
361
; Specify the name of the WLF file.
362
; The default is vsim.wlf
363
; WLFFilename = vsim.wlf
364
 
365
; Specify whether or not integer arrays will appear as memories.
366
; The default is 1 (display integer arrays as memories).
367
; ShowIntMem = 0
368
 
369
; Specify whether or not enumerated type arrays (other than std_logic-based)
370
; will appear as memories.
371
; The default is 1 (display enumerated type arrays as memories).
372
; ShowEnumMem = 0
373
 
374
; Specify whether or not arrays of 3 or more dimensions will appear as memories.
375
; The default is 1 (display 3D+ type arrays as memories).
376
; Show3DMem = 0
377
 
378
; Turn on/off undebuggable SystemC type warnings. Default is on.
379
; ShowUndebuggableScTypeWarning = 0
380
 
381
; Turn on/off unassociated SystemC name warnings. Default is off.
382
; ShowUnassociatedScNameWarning = 1
383
 
384
; Turn on/off PSL assertion pass enable. Default is off.
385
; AssertionPassEnable = 1
386
 
387
; Turn on/off PSL assertion fail enable. Default is on.
388
; AssertionFailEnable = 0
389
 
390
; Set PSL assertion pass limit. Default is 1.
391
; Any positive integer, -1 for infinity.
392
; AssertionPassLimit = -1
393
 
394
; Set PSL assertion fail limit. Default is 1.
395
; Any positive integer, -1 for infinity.
396
; AssertionFailLimit = -1
397
 
398
; Turn on/off PSL assertion pass log. Default is on.
399
; AssertionPassLog = 0
400
 
401
; Turn on/off PSL assertion fail log. Default is on.
402
; AssertionFailLog = 0
403
 
404
; Set action type for PSL assertion fail action. Default is continue.
405
; 0 = Continue  1 = Break  2 = Exit
406
; AssertionFailAction = 1
407
 
408
[lmc]
409
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software
410
libsm = $MODEL_TECH/libsm.sl
411
; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
412
; libsm = $MODEL_TECH/libsm.dll
413
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
414
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
415
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
416
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
417
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
418
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
419
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
420
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
421
;  Logic Modeling's SmartModel SWIFT software (Linux)
422
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
423
 
424
; ModelSim's interface to Logic Modeling's hardware modeler SFI software
425
libhm = $MODEL_TECH/libhm.sl
426
; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
427
; libhm = $MODEL_TECH/libhm.dll
428
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
429
; libsfi = /lib/hp700/libsfi.sl
430
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
431
; libsfi = /lib/rs6000/libsfi.a
432
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
433
; libsfi = /lib/sun4.solaris/libsfi.so
434
;  Logic Modeling's hardware modeler SFI software (Windows NT)
435
; libsfi = /lib/pcnt/lm_sfi.dll
436
;  Logic Modeling's hardware modeler SFI software (Linux)
437
; libsfi = /lib/linux/libsfi.so
438
[Project]
439
Project_Version = 5
440
Project_DefaultLib = work
441
Project_SortMethod = unused
442
Project_Files_Count = 1
443
Project_File_0 = G:/final crp project/decryption/decryptor.vhd
444
Project_File_P_0 = vhdl_novitalcheck 0 file_type VHDL group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1232621188 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 0 dont_compile 0 vhdl_use93 2002 cover_stmt 1
445
Project_Sim_Count = 0
446
Project_Folder_Count = 0
447
Echo_Compile_Output = 0
448
Save_Compile_Report = 1
449
VHDL_DoubleClick = Edit
450
VERILOG_DoubleClick = Edit
451
SYSTEMC_DoubleClick = Edit
452
TCL_DoubleClick = Edit
453
TEXT_DoubleClick = Edit
454
VHDL_CustomDoubleClick =
455
VERILOG_CustomDoubleClick =
456
SYSTEMC_CustomDoubleClick =
457
TCL_CustomDoubleClick =
458
TEXT_CustomDoubleClick =
459
ForceSoftPaths = 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.