OpenCores
URL https://opencores.org/ocsvn/cryptography/cryptography/trunk

Subversion Repositories cryptography

[/] [cryptography/] [trunk/] [encryption/] [carrylook_ahead2.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 marcus.erl
-----------------------------------------------------------------------------------
2
library IEEE;
3
use IEEE.STD_LOGIC_1164.ALL;
4
use IEEE.STD_LOGIC_ARITH.ALL;
5
use IEEE.STD_LOGIC_UNSIGNED.ALL;
6
 
7
 
8
entity carrylook_ahead2 is
9
port(a1,b1 : in std_logic_vector(15 downto 0);
10
      s1   :out std_logic_vector(15 downto 0);
11
      cin   :in std_logic);
12
 
13
end carrylook_ahead2;
14
 
15
architecture Behavioral of carrylook_ahead2 is
16
signal  p ,g : std_logic_vector( 15 downto 0);
17
signal  c: std_logic_vector( 16 downto 0);
18
 
19
begin
20
c(0)<= cin;
21
l1: for i in 0 to 15 generate
22
p(i)<= a1(i) xor b1(i);
23
 
24
g(i)<=a1(i) and b1(i);
25
 
26
s1(i)<=p(i) xor c(i);
27
c(i+1)<=g(i) or (p(i) and c(i));
28
 
29
end generate;
30
 
31
end Behavioral;
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.