OpenCores
URL https://opencores.org/ocsvn/descore/descore/trunk

Subversion Repositories descore

[/] [descore/] [trunk/] [rtl/] [des_loop.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    09:30:59 02/20/2013 
6
-- Design Name: 
7
-- Module Name:    des - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity des_loop is
33
        port(clk :  in std_logic;
34
                  rst : in std_logic;
35
                  mode : in std_logic; -- 0 encrypt, 1 decrypt
36
                  key_in : in std_logic_vector(63 downto 0);
37
                  blk_in : in std_logic_vector(63 downto 0);
38
                  blk_out : out std_logic_vector(63 downto 0));
39
end des_loop;
40
 
41
architecture Behavioral of des_loop is
42
 
43
        signal after_ip_s : std_logic_vector(63 downto 0);
44
        signal after_ip_minus_one_s : std_logic_vector(63 downto 0);
45
        signal after_f_s : std_logic_vector(31 downto 0);
46
        signal final_s : std_logic_vector(63 downto 0);
47
 
48
        component des_round is
49
                port(clk : in std_logic;
50
                          l_0 : in std_logic_vector(31 downto 0);
51
                     r_0 : in std_logic_vector(31 downto 0);
52
                     k_i : in std_logic_vector(47 downto 0);
53
                     l_1 : out std_logic_vector(31 downto 0);
54
                     r_1 : out std_logic_vector(31 downto 0));
55
        end component;
56
 
57
        component key_schedule is
58
                port(clk : in std_logic;
59
                          rst : in std_logic;
60
                     mode : in std_logic; -- 0 encrypt, 1 decrypt
61
                key : in std_logic_vector(63 downto 0);
62
                     key_out : out std_logic_vector(47 downto 0));
63
        end component;
64
 
65
        signal key_s : std_logic_vector(47 downto 0);
66
 
67
        signal l_0_s : std_logic_vector(31 downto 0);
68
        signal l_1_s : std_logic_vector(31 downto 0);
69
        signal l_2_s : std_logic_vector(31 downto 0);
70
        signal l_3_s : std_logic_vector(31 downto 0);
71
        signal l_4_s : std_logic_vector(31 downto 0);
72
        signal l_5_s : std_logic_vector(31 downto 0);
73
        signal l_6_s : std_logic_vector(31 downto 0);
74
        signal l_7_s : std_logic_vector(31 downto 0);
75
        signal l_8_s : std_logic_vector(31 downto 0);
76
        signal l_9_s : std_logic_vector(31 downto 0);
77
        signal l_10_s : std_logic_vector(31 downto 0);
78
        signal l_11_s : std_logic_vector(31 downto 0);
79
        signal l_12_s : std_logic_vector(31 downto 0);
80
        signal l_13_s : std_logic_vector(31 downto 0);
81
        signal l_14_s : std_logic_vector(31 downto 0);
82
        signal l_15_s : std_logic_vector(31 downto 0);
83
        signal l_16_s : std_logic_vector(31 downto 0);
84
 
85
        signal r_0_s : std_logic_vector(31 downto 0);
86
        signal r_1_s : std_logic_vector(31 downto 0);
87
        signal r_2_s : std_logic_vector(31 downto 0);
88
        signal r_3_s : std_logic_vector(31 downto 0);
89
        signal r_4_s : std_logic_vector(31 downto 0);
90
        signal r_5_s : std_logic_vector(31 downto 0);
91
        signal r_6_s : std_logic_vector(31 downto 0);
92
        signal r_7_s : std_logic_vector(31 downto 0);
93
        signal r_8_s : std_logic_vector(31 downto 0);
94
        signal r_9_s : std_logic_vector(31 downto 0);
95
        signal r_10_s : std_logic_vector(31 downto 0);
96
        signal r_11_s : std_logic_vector(31 downto 0);
97
        signal r_12_s : std_logic_vector(31 downto 0);
98
        signal r_13_s : std_logic_vector(31 downto 0);
99
        signal r_14_s : std_logic_vector(31 downto 0);
100
        signal r_15_s : std_logic_vector(31 downto 0);
101
        signal r_16_s : std_logic_vector(31 downto 0);
102
 
103
        signal k_0_s : std_logic_vector(47 downto 0);
104
        signal k_1_s : std_logic_vector(47 downto 0);
105
        signal k_2_s : std_logic_vector(47 downto 0);
106
        signal k_3_s : std_logic_vector(47 downto 0);
107
        signal k_4_s : std_logic_vector(47 downto 0);
108
        signal k_5_s : std_logic_vector(47 downto 0);
109
        signal k_6_s : std_logic_vector(47 downto 0);
110
        signal k_7_s : std_logic_vector(47 downto 0);
111
        signal k_8_s : std_logic_vector(47 downto 0);
112
        signal k_9_s : std_logic_vector(47 downto 0);
113
        signal k_10_s : std_logic_vector(47 downto 0);
114
        signal k_11_s : std_logic_vector(47 downto 0);
115
        signal k_12_s : std_logic_vector(47 downto 0);
116
        signal k_13_s : std_logic_vector(47 downto 0);
117
        signal k_14_s : std_logic_vector(47 downto 0);
118
        signal k_15_s : std_logic_vector(47 downto 0);
119
 
120
        signal rst_s : std_logic;
121
 
122
begin
123
 
124
        pr_rst_delay : process(clk, rst)
125
        begin
126
                if rising_edge(clk) then
127
                        rst_s <= rst;
128
                end if;
129
        end process;
130
 
131
--      k_0_s <= "101000001001001011000010000010101011000101000000";
132
--      k_1_s <= "101000000001001001010010010011000000000010101011";
133
--      k_2_s <= "001001000101101001010000000001100101100001001001";
134
--      k_3_s <= "000001100111000101010000000000101001000101110000";
135
--      k_4_s   <= "000011100100010101010001100000011000110100100000";
136
--      k_5_s <= "010011110100000100001001010010000000111000010000";
137
--      k_6_s <= "000010111000000110001001010110010100000000011100";
138
--      k_7_s <= "000110010000100010001011000000010101000010001000";
139
--      k_8_s <= "000110010000101010001000000110000010111010010000";
140
--      k_9_s <= "000100000011100010001100001110010100000000010001";
141
--      k_10_s <= "000100000010110001000100000000110110000000000010";
142
--      k_11_s <= "010000000110110000100100101001000010000100000100";
143
--      k_12_s <= "110000001010010100100100101000000000001011000110";
144
--      k_13_s <= "110000001000011000100011010101001000001010000011";
145
--      k_14_s <= "111000011001001000100010000101100000010001001001";
146
--      k_15_s <= "101000001001001000101010011000000001010010000110";
147
 
148
        -- IP
149
 
150
        pr_seq: process(clk, rst_s, blk_in)
151
        begin
152
                if rst_s = '1' then
153
                        l_0_s <= blk_in(6) & blk_in(14) & blk_in(22) & blk_in(30) & blk_in(38) & blk_in(46) & blk_in(54)  & blk_in(62) &
154
                                                          blk_in(4) & blk_in(12) & blk_in(20) & blk_in(28) & blk_in(36) & blk_in(44) & blk_in(52)  & blk_in(60) &
155
                                                          blk_in(2) & blk_in(10) & blk_in(18) & blk_in(26) & blk_in(34) & blk_in(42) & blk_in(50)  & blk_in(58) &
156
                                                          blk_in(0) & blk_in(8)  & blk_in(16) & blk_in(24) & blk_in(32) & blk_in(40) & blk_in(48)  & blk_in(56);
157
 
158
                        r_0_s <= blk_in(7) & blk_in(15) & blk_in(23) & blk_in(31) & blk_in(39) & blk_in(47) & blk_in(55)  & blk_in(63) &
159
                                                          blk_in(5) & blk_in(13) & blk_in(21) & blk_in(29) & blk_in(37) & blk_in(45) & blk_in(53)  & blk_in(61) &
160
                                                          blk_in(3) & blk_in(11) & blk_in(19) & blk_in(27) & blk_in(35) & blk_in(43) & blk_in(51)  & blk_in(59) &
161
                                                          blk_in(1) & blk_in(9)  & blk_in(17) & blk_in(25) & blk_in(33) & blk_in(41) & blk_in(49)  & blk_in(57);
162
                elsif rising_edge(clk) then
163
                        l_0_s <= l_1_s;
164
                        r_0_s <= r_1_s;
165
                end if;
166
        end process;
167
 
168
        DES_ROUND_0 :  des_round port map (clk, l_0_s, r_0_s, k_0_s, l_1_s, r_1_s);
169
 
170
        final_s <= r_1_s & l_1_s;
171
 
172
        blk_out  <=   final_s(24) & final_s(56) & final_s(16) & final_s(48) & final_s(8) & final_s(40) & final_s(0)  & final_s(32) &
173
                                          final_s(25) & final_s(57) & final_s(17) & final_s(49) & final_s(9) & final_s(41) & final_s(1) & final_s(33) &
174
                                          final_s(26) & final_s(58) & final_s(18) & final_s(50) & final_s(10) & final_s(42) & final_s(2) & final_s(34) &
175
                                          final_s(27) & final_s(59) & final_s(19) & final_s(51) & final_s(11) & final_s(43) & final_s(3) & final_s(35) &
176
                                          final_s(28) & final_s(60) & final_s(20) & final_s(52) & final_s(12) & final_s(44) & final_s(4)  & final_s(36) &
177
                                          final_s(29) & final_s(61) & final_s(21) & final_s(53) & final_s(13) & final_s(45) & final_s(5) & final_s(37) &
178
                                          final_s(30) & final_s(62) & final_s(22) & final_s(54) & final_s(14) & final_s(46) & final_s(6) & final_s(38) &
179
                                          final_s(31) & final_s(63) & final_s(23) & final_s(55) & final_s(15) & final_s(47) & final_s(7) & final_s(39);
180
 
181
        KEY_SCHEDULE_0 : key_schedule port map (clk, rst, mode, key_in, k_0_s);
182
 
183
end Behavioral;
184
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.