OpenCores
URL https://opencores.org/ocsvn/deslcore/deslcore/trunk

Subversion Repositories deslcore

[/] [deslcore/] [trunk/] [rtl/] [des_round.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    12:52:29 02/20/2013 
6
-- Design Name: 
7
-- Module Name:    des_round - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity des_round is
33
        port(clk : in std_logic;
34
                  l_0 : in std_logic_vector(31 downto 0);
35
                  r_0 : in std_logic_vector(31 downto 0);
36
                  k_i : in std_logic_vector(47 downto 0);
37
                  l_1 : out std_logic_vector(31 downto 0);
38
                  r_1 : out std_logic_vector(31 downto 0));
39
end des_round;
40
 
41
architecture Behavioral of des_round is
42
 
43
        component f_fun is
44
                port(clk : in std_logic;
45
                          r_in : in std_logic_vector(31 downto 0);
46
                          k_in : in std_logic_vector(47 downto 0);
47
                          r_out : out std_logic_vector(31 downto 0));
48
        end component;
49
 
50
        component dsp_xor is
51
                port (clk     : in std_logic;
52
                                op_1      : in std_logic_vector(31 downto 0);
53
                                op_2      : in std_logic_vector(31 downto 0);
54
                                op_3      : out std_logic_vector(31 downto 0));
55
        end component;
56
 
57
        signal f_out_s : std_logic_vector(31 downto 0);
58
 
59
begin
60
 
61
        F_FUN_0 : f_fun port map (clk, r_0, k_i, f_out_s);
62
 
63
        l_1 <= r_0;
64
        r_1 <= l_0 xor f_out_s;
65
 
66
--      DSP_XOR_0 : dsp_xor port map (clk, l_0, f_out_s, r_1);
67
 
68
end Behavioral;
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.