OpenCores
URL https://opencores.org/ocsvn/dvb_s2_ldpc_decoder/dvb_s2_ldpc_decoder/trunk

Subversion Repositories dvb_s2_ldpc_decoder

[/] [dvb_s2_ldpc_decoder/] [trunk/] [rtl/] [ldpc_edgetable.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcorley
module ldpc_edgetable(
2
  input        clk,
3
  input        rst,
4
  input[12:0]  romaddr,
5
  output[16:0] romdata
6
);
7
 
8
reg[16:0] romdata_int;
9
 
10
assign romdata = romdata_int;
11
 
12
always @( posedge rst, posedge clk )
13
  if( rst )
14
    romdata_int <= 0;
15
  else
16
  case( romaddr )
17
    'h0   : romdata_int = 'h10015; // Pointer for 1_4
18
    'h1   : romdata_int = 'h101aa; // Pointer for 1_3
19
    'h2   : romdata_int = 'h1038a; // Pointer for 2_5
20
    'h3   : romdata_int = 'h105a6; // Pointer for 1_2
21
    'h4   : romdata_int = 'h107c2; // Pointer for 3_5
22
    'h5   : romdata_int = 'h10a92; // Pointer for 2_3
23
    'h6   : romdata_int = 'h10cae; // Pointer for 3_4
24
    'h7   : romdata_int = 'h10ef7; // Pointer for 4_5
25
    'h8   : romdata_int = 'h1115b; // Pointer for 5_6
26
    'h9   : romdata_int = 'h113d1; // Pointer for 8_9
27
    'ha   : romdata_int = 'h115d9; // Pointer for 9_10
28
    'hb   : romdata_int = 'h017e3; // Pointer for 1_5s
29
    'hc   : romdata_int = 'h01846; // Pointer for 1_3s
30
    'hd   : romdata_int = 'h018be; // Pointer for 2_5s
31
    'he   : romdata_int = 'h01945; // Pointer for 4_9s
32
    'hf   : romdata_int = 'h019b3; // Pointer for 3_5s
33
    'h10  : romdata_int = 'h01a67; // Pointer for 2_3s
34
    'h11  : romdata_int = 'h01aee; // Pointer for 11_15s
35
    'h12  : romdata_int = 'h01b66; // Pointer for 7_9s
36
    'h13  : romdata_int = 'h01bd9; // Pointer for 37_45s
37
    'h14  : romdata_int = 'h01c5a; // Pointer for 8_9s
38
    'h15  : romdata_int = 'h187; // Line descriptor for 1_4
39
    'h16  : romdata_int = 'h4;
40
    'h17  : romdata_int = 'h3645;
41
    'h18  : romdata_int = 'h187; // Line descriptor for 1_4
42
    'h19  : romdata_int = 'h390b;
43
    'h1a  : romdata_int = 'h58f3;
44
    'h1b  : romdata_int = 'h187; // Line descriptor for 1_4
45
    'h1c  : romdata_int = 'hc0e;
46
    'h1d  : romdata_int = 'h1c14;
47
    'h1e  : romdata_int = 'h187; // Line descriptor for 1_4
48
    'h1f  : romdata_int = 'h1b4c;
49
    'h20  : romdata_int = 'h2945;
50
    'h21  : romdata_int = 'h187; // Line descriptor for 1_4
51
    'h22  : romdata_int = 'h27b;
52
    'h23  : romdata_int = 'h1763;
53
    'h24  : romdata_int = 'h187; // Line descriptor for 1_4
54
    'h25  : romdata_int = 'h8c9;
55
    'h26  : romdata_int = 'h3345;
56
    'h27  : romdata_int = 'h187; // Line descriptor for 1_4
57
    'h28  : romdata_int = 'ha67;
58
    'h29  : romdata_int = 'habd;
59
    'h2a  : romdata_int = 'h4187; // Line descriptor for 1_4
60
    'h2b  : romdata_int = 'h1465;
61
    'h2c  : romdata_int = 'h1937;
62
    'h2d  : romdata_int = 'h187; // Line descriptor for 1_4
63
    'h2e  : romdata_int = 'h311e;
64
    'h2f  : romdata_int = 'h46e2;
65
    'h30  : romdata_int = 'h187; // Line descriptor for 1_4
66
    'h31  : romdata_int = 'h222f;
67
    'h32  : romdata_int = 'h52db;
68
    'h33  : romdata_int = 'h187; // Line descriptor for 1_4
69
    'h34  : romdata_int = 'h1338;
70
    'h35  : romdata_int = 'h143f;
71
    'h36  : romdata_int = 'h187; // Line descriptor for 1_4
72
    'h37  : romdata_int = 'h461;
73
    'h38  : romdata_int = 'h183e;
74
    'h39  : romdata_int = 'h187; // Line descriptor for 1_4
75
    'h3a  : romdata_int = 'h10df;
76
    'h3b  : romdata_int = 'h1ea4;
77
    'h3c  : romdata_int = 'h187; // Line descriptor for 1_4
78
    'h3d  : romdata_int = 'h1ced;
79
    'h3e  : romdata_int = 'h54f3;
80
    'h3f  : romdata_int = 'h4187; // Line descriptor for 1_4
81
    'h40  : romdata_int = 'h1690;
82
    'h41  : romdata_int = 'h3a36;
83
    'h42  : romdata_int = 'h187; // Line descriptor for 1_4
84
    'h43  : romdata_int = 'h89;
85
    'h44  : romdata_int = 'h4e0;
86
    'h45  : romdata_int = 'h187; // Line descriptor for 1_4
87
    'h46  : romdata_int = 'h2e;
88
    'h47  : romdata_int = 'hf48;
89
    'h48  : romdata_int = 'h187; // Line descriptor for 1_4
90
    'h49  : romdata_int = 'h1144;
91
    'h4a  : romdata_int = 'h185c;
92
    'h4b  : romdata_int = 'h187; // Line descriptor for 1_4
93
    'h4c  : romdata_int = 'h12ce;
94
    'h4d  : romdata_int = 'h507e;
95
    'h4e  : romdata_int = 'h187; // Line descriptor for 1_4
96
    'h4f  : romdata_int = 'h1135;
97
    'h50  : romdata_int = 'h18c3;
98
    'h51  : romdata_int = 'h187; // Line descriptor for 1_4
99
    'h52  : romdata_int = 'h1746;
100
    'h53  : romdata_int = 'h3c60;
101
    'h54  : romdata_int = 'h4187; // Line descriptor for 1_4
102
    'h55  : romdata_int = 'h1ccb;
103
    'h56  : romdata_int = 'h2eb3;
104
    'h57  : romdata_int = 'h187; // Line descriptor for 1_4
105
    'h58  : romdata_int = 'h2b9;
106
    'h59  : romdata_int = 'h4711;
107
    'h5a  : romdata_int = 'h187; // Line descriptor for 1_4
108
    'h5b  : romdata_int = 'h14c0;
109
    'h5c  : romdata_int = 'h4838;
110
    'h5d  : romdata_int = 'h187; // Line descriptor for 1_4
111
    'h5e  : romdata_int = 'hd4d;
112
    'h5f  : romdata_int = 'h10d6;
113
    'h60  : romdata_int = 'h187; // Line descriptor for 1_4
114
    'h61  : romdata_int = 'haa6;
115
    'h62  : romdata_int = 'h1b15;
116
    'h63  : romdata_int = 'h187; // Line descriptor for 1_4
117
    'h64  : romdata_int = 'h2303;
118
    'h65  : romdata_int = 'h44b5;
119
    'h66  : romdata_int = 'h187; // Line descriptor for 1_4
120
    'h67  : romdata_int = 'h642;
121
    'h68  : romdata_int = 'h8d5;
122
    'h69  : romdata_int = 'h4187; // Line descriptor for 1_4
123
    'h6a  : romdata_int = 'hf2a;
124
    'h6b  : romdata_int = 'h1d1f;
125
    'h6c  : romdata_int = 'h187; // Line descriptor for 1_4
126
    'h6d  : romdata_int = 'h137;
127
    'h6e  : romdata_int = 'h46b;
128
    'h6f  : romdata_int = 'h187; // Line descriptor for 1_4
129
    'h70  : romdata_int = 'hd38;
130
    'h71  : romdata_int = 'h3c7d;
131
    'h72  : romdata_int = 'h187; // Line descriptor for 1_4
132
    'h73  : romdata_int = 'h84a;
133
    'h74  : romdata_int = 'haf2;
134
    'h75  : romdata_int = 'h187; // Line descriptor for 1_4
135
    'h76  : romdata_int = 'h30e5;
136
    'h77  : romdata_int = 'h48b4;
137
    'h78  : romdata_int = 'h187; // Line descriptor for 1_4
138
    'h79  : romdata_int = 'h16b4;
139
    'h7a  : romdata_int = 'h3307;
140
    'h7b  : romdata_int = 'h187; // Line descriptor for 1_4
141
    'h7c  : romdata_int = 'h312;
142
    'h7d  : romdata_int = 'h10a0;
143
    'h7e  : romdata_int = 'h4187; // Line descriptor for 1_4
144
    'h7f  : romdata_int = 'h14e5;
145
    'h80  : romdata_int = 'h5045;
146
    'h81  : romdata_int = 'h187; // Line descriptor for 1_4
147
    'h82  : romdata_int = 'h92b;
148
    'h83  : romdata_int = 'hcab;
149
    'h84  : romdata_int = 'h187; // Line descriptor for 1_4
150
    'h85  : romdata_int = 'h12fd;
151
    'h86  : romdata_int = 'h299;
152
    'h87  : romdata_int = 'h187; // Line descriptor for 1_4
153
    'h88  : romdata_int = 'h728;
154
    'h89  : romdata_int = 'h36a2;
155
    'h8a  : romdata_int = 'h187; // Line descriptor for 1_4
156
    'h8b  : romdata_int = 'h1221;
157
    'h8c  : romdata_int = 'h266a;
158
    'h8d  : romdata_int = 'h187; // Line descriptor for 1_4
159
    'h8e  : romdata_int = 'hb2c;
160
    'h8f  : romdata_int = 'h4241;
161
    'h90  : romdata_int = 'h187; // Line descriptor for 1_4
162
    'h91  : romdata_int = 'h1a64;
163
    'h92  : romdata_int = 'h1aad;
164
    'h93  : romdata_int = 'h4187; // Line descriptor for 1_4
165
    'h94  : romdata_int = 'hb0;
166
    'h95  : romdata_int = 'h208f;
167
    'h96  : romdata_int = 'h187; // Line descriptor for 1_4
168
    'h97  : romdata_int = 'h2a3f;
169
    'h98  : romdata_int = 'h4a03;
170
    'h99  : romdata_int = 'h187; // Line descriptor for 1_4
171
    'h9a  : romdata_int = 'h455;
172
    'h9b  : romdata_int = 'h121c;
173
    'h9c  : romdata_int = 'h187; // Line descriptor for 1_4
174
    'h9d  : romdata_int = 'ha88;
175
    'h9e  : romdata_int = 'h109b;
176
    'h9f  : romdata_int = 'h187; // Line descriptor for 1_4
177
    'ha0  : romdata_int = 'hc25;
178
    'ha1  : romdata_int = 'h18ca;
179
    'ha2  : romdata_int = 'h187; // Line descriptor for 1_4
180
    'ha3  : romdata_int = 'he66;
181
    'ha4  : romdata_int = 'h2767;
182
    'ha5  : romdata_int = 'h187; // Line descriptor for 1_4
183
    'ha6  : romdata_int = 'h167e;
184
    'ha7  : romdata_int = 'hc4c;
185
    'ha8  : romdata_int = 'h4187; // Line descriptor for 1_4
186
    'ha9  : romdata_int = 'h168f;
187
    'haa  : romdata_int = 'h1b58;
188
    'hab  : romdata_int = 'h187; // Line descriptor for 1_4
189
    'hac  : romdata_int = 'h864;
190
    'had  : romdata_int = 'h3ea6;
191
    'hae  : romdata_int = 'h187; // Line descriptor for 1_4
192
    'haf  : romdata_int = 'hf16;
193
    'hb0  : romdata_int = 'h1a17;
194
    'hb1  : romdata_int = 'h187; // Line descriptor for 1_4
195
    'hb2  : romdata_int = 'h936;
196
    'hb3  : romdata_int = 'h1054;
197
    'hb4  : romdata_int = 'h187; // Line descriptor for 1_4
198
    'hb5  : romdata_int = 'h10b;
199
    'hb6  : romdata_int = 'h1b06;
200
    'hb7  : romdata_int = 'h187; // Line descriptor for 1_4
201
    'hb8  : romdata_int = 'h1670;
202
    'hb9  : romdata_int = 'h2e97;
203
    'hba  : romdata_int = 'h187; // Line descriptor for 1_4
204
    'hbb  : romdata_int = 'h2cb1;
205
    'hbc  : romdata_int = 'h4e6;
206
    'hbd  : romdata_int = 'h4187; // Line descriptor for 1_4
207
    'hbe  : romdata_int = 'h2cd5;
208
    'hbf  : romdata_int = 'h4ac8;
209
    'hc0  : romdata_int = 'h187; // Line descriptor for 1_4
210
    'hc1  : romdata_int = 'hc41;
211
    'hc2  : romdata_int = 'h1319;
212
    'hc3  : romdata_int = 'h187; // Line descriptor for 1_4
213
    'hc4  : romdata_int = 'h86;
214
    'hc5  : romdata_int = 'h4ce8;
215
    'hc6  : romdata_int = 'h187; // Line descriptor for 1_4
216
    'hc7  : romdata_int = 'h51e;
217
    'hc8  : romdata_int = 'h62d;
218
    'hc9  : romdata_int = 'h187; // Line descriptor for 1_4
219
    'hca  : romdata_int = 'h8;
220
    'hcb  : romdata_int = 'he58;
221
    'hcc  : romdata_int = 'h187; // Line descriptor for 1_4
222
    'hcd  : romdata_int = 'h161f;
223
    'hce  : romdata_int = 'h4e10;
224
    'hcf  : romdata_int = 'h187; // Line descriptor for 1_4
225
    'hd0  : romdata_int = 'h33b;
226
    'hd1  : romdata_int = 'h1e3d;
227
    'hd2  : romdata_int = 'h4187; // Line descriptor for 1_4
228
    'hd3  : romdata_int = 'h1d3d;
229
    'hd4  : romdata_int = 'h5280;
230
    'hd5  : romdata_int = 'h187; // Line descriptor for 1_4
231
    'hd6  : romdata_int = 'h1a42;
232
    'hd7  : romdata_int = 'h72c;
233
    'hd8  : romdata_int = 'h187; // Line descriptor for 1_4
234
    'hd9  : romdata_int = 'hc75;
235
    'hda  : romdata_int = 'h173f;
236
    'hdb  : romdata_int = 'h187; // Line descriptor for 1_4
237
    'hdc  : romdata_int = 'h1a2b;
238
    'hdd  : romdata_int = 'h435e;
239
    'hde  : romdata_int = 'h187; // Line descriptor for 1_4
240
    'hdf  : romdata_int = 'h1030;
241
    'he0  : romdata_int = 'h1887;
242
    'he1  : romdata_int = 'h187; // Line descriptor for 1_4
243
    'he2  : romdata_int = 'h1623;
244
    'he3  : romdata_int = 'h4c59;
245
    'he4  : romdata_int = 'h187; // Line descriptor for 1_4
246
    'he5  : romdata_int = 'h122a;
247
    'he6  : romdata_int = 'h4606;
248
    'he7  : romdata_int = 'h4187; // Line descriptor for 1_4
249
    'he8  : romdata_int = 'h10d0;
250
    'he9  : romdata_int = 'h4ca0;
251
    'hea  : romdata_int = 'h187; // Line descriptor for 1_4
252
    'heb  : romdata_int = 'h4d5;
253
    'hec  : romdata_int = 'h3434;
254
    'hed  : romdata_int = 'h187; // Line descriptor for 1_4
255
    'hee  : romdata_int = 'h83b;
256
    'hef  : romdata_int = 'h446f;
257
    'hf0  : romdata_int = 'h187; // Line descriptor for 1_4
258
    'hf1  : romdata_int = 'hb22;
259
    'hf2  : romdata_int = 'he4d;
260
    'hf3  : romdata_int = 'h187; // Line descriptor for 1_4
261
    'hf4  : romdata_int = 'h48a;
262
    'hf5  : romdata_int = 'h862;
263
    'hf6  : romdata_int = 'h187; // Line descriptor for 1_4
264
    'hf7  : romdata_int = 'h12c9;
265
    'hf8  : romdata_int = 'h1522;
266
    'hf9  : romdata_int = 'h187; // Line descriptor for 1_4
267
    'hfa  : romdata_int = 'h1958;
268
    'hfb  : romdata_int = 'h4e85;
269
    'hfc  : romdata_int = 'h4187; // Line descriptor for 1_4
270
    'hfd  : romdata_int = 'h18db;
271
    'hfe  : romdata_int = 'ha5c;
272
    'hff  : romdata_int = 'h187; // Line descriptor for 1_4
273
    'h100 : romdata_int = 'h1d1d;
274
    'h101 : romdata_int = 'h346f;
275
    'h102 : romdata_int = 'h187; // Line descriptor for 1_4
276
    'h103 : romdata_int = 'h168c;
277
    'h104 : romdata_int = 'h4e08;
278
    'h105 : romdata_int = 'h187; // Line descriptor for 1_4
279
    'h106 : romdata_int = 'h954;
280
    'h107 : romdata_int = 'hd52;
281
    'h108 : romdata_int = 'h187; // Line descriptor for 1_4
282
    'h109 : romdata_int = 'h16da;
283
    'h10a : romdata_int = 'h22f8;
284
    'h10b : romdata_int = 'h187; // Line descriptor for 1_4
285
    'h10c : romdata_int = 'h24dc;
286
    'h10d : romdata_int = 'h4049;
287
    'h10e : romdata_int = 'h187; // Line descriptor for 1_4
288
    'h10f : romdata_int = 'h673;
289
    'h110 : romdata_int = 'hb49;
290
    'h111 : romdata_int = 'h4187; // Line descriptor for 1_4
291
    'h112 : romdata_int = 'h279;
292
    'h113 : romdata_int = 'h3eaf;
293
    'h114 : romdata_int = 'h187; // Line descriptor for 1_4
294
    'h115 : romdata_int = 'h1006;
295
    'h116 : romdata_int = 'h4149;
296
    'h117 : romdata_int = 'h187; // Line descriptor for 1_4
297
    'h118 : romdata_int = 'h890;
298
    'h119 : romdata_int = 'h10be;
299
    'h11a : romdata_int = 'h187; // Line descriptor for 1_4
300
    'h11b : romdata_int = 'hef3;
301
    'h11c : romdata_int = 'h303c;
302
    'h11d : romdata_int = 'h187; // Line descriptor for 1_4
303
    'h11e : romdata_int = 'h2b8;
304
    'h11f : romdata_int = 'h4a5;
305
    'h120 : romdata_int = 'h187; // Line descriptor for 1_4
306
    'h121 : romdata_int = 'h187b;
307
    'h122 : romdata_int = 'h9a;
308
    'h123 : romdata_int = 'h187; // Line descriptor for 1_4
309
    'h124 : romdata_int = 'h1031;
310
    'h125 : romdata_int = 'h1845;
311
    'h126 : romdata_int = 'h4187; // Line descriptor for 1_4
312
    'h127 : romdata_int = 'h302;
313
    'h128 : romdata_int = 'h3857;
314
    'h129 : romdata_int = 'h187; // Line descriptor for 1_4
315
    'h12a : romdata_int = 'h3b26;
316
    'h12b : romdata_int = 'h4a22;
317
    'h12c : romdata_int = 'h187; // Line descriptor for 1_4
318
    'h12d : romdata_int = 'h24da;
319
    'h12e : romdata_int = 'h5555;
320
    'h12f : romdata_int = 'h187; // Line descriptor for 1_4
321
    'h130 : romdata_int = 'h18ee;
322
    'h131 : romdata_int = 'h6e5;
323
    'h132 : romdata_int = 'h187; // Line descriptor for 1_4
324
    'h133 : romdata_int = 'h24e4;
325
    'h134 : romdata_int = 'h52b5;
326
    'h135 : romdata_int = 'h187; // Line descriptor for 1_4
327
    'h136 : romdata_int = 'h1906;
328
    'h137 : romdata_int = 'h1eb3;
329
    'h138 : romdata_int = 'h187; // Line descriptor for 1_4
330
    'h139 : romdata_int = 'h1c9a;
331
    'h13a : romdata_int = 'h2af5;
332
    'h13b : romdata_int = 'h4187; // Line descriptor for 1_4
333
    'h13c : romdata_int = 'hf58;
334
    'h13d : romdata_int = 'h2644;
335
    'h13e : romdata_int = 'h187; // Line descriptor for 1_4
336
    'h13f : romdata_int = 'h467;
337
    'h140 : romdata_int = 'h1308;
338
    'h141 : romdata_int = 'h187; // Line descriptor for 1_4
339
    'h142 : romdata_int = 'h6a7;
340
    'h143 : romdata_int = 'h1418;
341
    'h144 : romdata_int = 'h187; // Line descriptor for 1_4
342
    'h145 : romdata_int = 'he1a;
343
    'h146 : romdata_int = 'h3e52;
344
    'h147 : romdata_int = 'h187; // Line descriptor for 1_4
345
    'h148 : romdata_int = 'h1a35;
346
    'h149 : romdata_int = 'h5898;
347
    'h14a : romdata_int = 'h187; // Line descriptor for 1_4
348
    'h14b : romdata_int = 'h27f;
349
    'h14c : romdata_int = 'h14eb;
350
    'h14d : romdata_int = 'h187; // Line descriptor for 1_4
351
    'h14e : romdata_int = 'hd5;
352
    'h14f : romdata_int = 'hb27;
353
    'h150 : romdata_int = 'h4187; // Line descriptor for 1_4
354
    'h151 : romdata_int = 'h3628;
355
    'h152 : romdata_int = 'h511e;
356
    'h153 : romdata_int = 'h187; // Line descriptor for 1_4
357
    'h154 : romdata_int = 'h14fd;
358
    'h155 : romdata_int = 'h3af9;
359
    'h156 : romdata_int = 'h187; // Line descriptor for 1_4
360
    'h157 : romdata_int = 'h1d31;
361
    'h158 : romdata_int = 'h1440;
362
    'h159 : romdata_int = 'h187; // Line descriptor for 1_4
363
    'h15a : romdata_int = 'h15c;
364
    'h15b : romdata_int = 'hd4f;
365
    'h15c : romdata_int = 'h187; // Line descriptor for 1_4
366
    'h15d : romdata_int = 'h8b6;
367
    'h15e : romdata_int = 'h38f3;
368
    'h15f : romdata_int = 'h187; // Line descriptor for 1_4
369
    'h160 : romdata_int = 'h1cc4;
370
    'h161 : romdata_int = 'h5959;
371
    'h162 : romdata_int = 'h187; // Line descriptor for 1_4
372
    'h163 : romdata_int = 'hc8f;
373
    'h164 : romdata_int = 'h1408;
374
    'h165 : romdata_int = 'h4187; // Line descriptor for 1_4
375
    'h166 : romdata_int = 'h2e1d;
376
    'h167 : romdata_int = 'h5711;
377
    'h168 : romdata_int = 'h187; // Line descriptor for 1_4
378
    'h169 : romdata_int = 'h1233;
379
    'h16a : romdata_int = 'hc70;
380
    'h16b : romdata_int = 'h187; // Line descriptor for 1_4
381
    'h16c : romdata_int = 'h67c;
382
    'h16d : romdata_int = 'h6a4;
383
    'h16e : romdata_int = 'h187; // Line descriptor for 1_4
384
    'h16f : romdata_int = 'h1250;
385
    'h170 : romdata_int = 'h281e;
386
    'h171 : romdata_int = 'h187; // Line descriptor for 1_4
387
    'h172 : romdata_int = 'hae;
388
    'h173 : romdata_int = 'h40ff;
389
    'h174 : romdata_int = 'h187; // Line descriptor for 1_4
390
    'h175 : romdata_int = 'h29b;
391
    'h176 : romdata_int = 'h1a73;
392
    'h177 : romdata_int = 'h187; // Line descriptor for 1_4
393
    'h178 : romdata_int = 'h27c;
394
    'h179 : romdata_int = 'h145d;
395
    'h17a : romdata_int = 'h4187; // Line descriptor for 1_4
396
    'h17b : romdata_int = 'h40d;
397
    'h17c : romdata_int = 'h1c0a;
398
    'h17d : romdata_int = 'h187; // Line descriptor for 1_4
399
    'h17e : romdata_int = 'h2afe;
400
    'h17f : romdata_int = 'h5687;
401
    'h180 : romdata_int = 'h187; // Line descriptor for 1_4
402
    'h181 : romdata_int = 'h147b;
403
    'h182 : romdata_int = 'h3551;
404
    'h183 : romdata_int = 'h187; // Line descriptor for 1_4
405
    'h184 : romdata_int = 'h6a6;
406
    'h185 : romdata_int = 'h4550;
407
    'h186 : romdata_int = 'h187; // Line descriptor for 1_4
408
    'h187 : romdata_int = 'h2049;
409
    'h188 : romdata_int = 'h5696;
410
    'h189 : romdata_int = 'h187; // Line descriptor for 1_4
411
    'h18a : romdata_int = 'heee;
412
    'h18b : romdata_int = 'h54e5;
413
    'h18c : romdata_int = 'h187; // Line descriptor for 1_4
414
    'h18d : romdata_int = 'h1cc9;
415
    'h18e : romdata_int = 'h2ca6;
416
    'h18f : romdata_int = 'h4187; // Line descriptor for 1_4
417
    'h190 : romdata_int = 'h8f2;
418
    'h191 : romdata_int = 'h2919;
419
    'h192 : romdata_int = 'h187; // Line descriptor for 1_4
420
    'h193 : romdata_int = 'h20cd;
421
    'h194 : romdata_int = 'h3cdd;
422
    'h195 : romdata_int = 'h187; // Line descriptor for 1_4
423
    'h196 : romdata_int = 'hb01;
424
    'h197 : romdata_int = 'h1ac5;
425
    'h198 : romdata_int = 'h187; // Line descriptor for 1_4
426
    'h199 : romdata_int = 'h1d5b;
427
    'h19a : romdata_int = 'h329e;
428
    'h19b : romdata_int = 'h187; // Line descriptor for 1_4
429
    'h19c : romdata_int = 'he0a;
430
    'h19d : romdata_int = 'h4267;
431
    'h19e : romdata_int = 'h187; // Line descriptor for 1_4
432
    'h19f : romdata_int = 'h643;
433
    'h1a0 : romdata_int = 'ha48;
434
    'h1a1 : romdata_int = 'h187; // Line descriptor for 1_4
435
    'h1a2 : romdata_int = 'h6b0;
436
    'h1a3 : romdata_int = 'h12a2;
437
    'h1a4 : romdata_int = 'h4187; // Line descriptor for 1_4
438
    'h1a5 : romdata_int = 'h238;
439
    'h1a6 : romdata_int = 'he32;
440
    'h1a7 : romdata_int = 'h2187; // Line descriptor for 1_4
441
    'h1a8 : romdata_int = 'h528;
442
    'h1a9 : romdata_int = 'h4862;
443
    'h1aa : romdata_int = 'h278; // Line descriptor for 1_3
444
    'h1ab : romdata_int = 'h2e;
445
    'h1ac : romdata_int = 'hb0;
446
    'h1ad : romdata_int = 'h5939;
447
    'h1ae : romdata_int = 'h278; // Line descriptor for 1_3
448
    'h1af : romdata_int = 'h46e4;
449
    'h1b0 : romdata_int = 'h5cb8;
450
    'h1b1 : romdata_int = 'h6cec;
451
    'h1b2 : romdata_int = 'h278; // Line descriptor for 1_3
452
    'h1b3 : romdata_int = 'hc8f;
453
    'h1b4 : romdata_int = 'h20f3;
454
    'h1b5 : romdata_int = 'h371c;
455
    'h1b6 : romdata_int = 'h278; // Line descriptor for 1_3
456
    'h1b7 : romdata_int = 'h27b;
457
    'h1b8 : romdata_int = 'h194c;
458
    'h1b9 : romdata_int = 'h5cd1;
459
    'h1ba : romdata_int = 'h4278; // Line descriptor for 1_3
460
    'h1bb : romdata_int = 'h1563;
461
    'h1bc : romdata_int = 'h1a14;
462
    'h1bd : romdata_int = 'h2f59;
463
    'h1be : romdata_int = 'h278; // Line descriptor for 1_3
464
    'h1bf : romdata_int = 'h8c9;
465
    'h1c0 : romdata_int = 'h2256;
466
    'h1c1 : romdata_int = 'h2410;
467
    'h1c2 : romdata_int = 'h278; // Line descriptor for 1_3
468
    'h1c3 : romdata_int = 'ha67;
469
    'h1c4 : romdata_int = 'habd;
470
    'h1c5 : romdata_int = 'h1f07;
471
    'h1c6 : romdata_int = 'h278; // Line descriptor for 1_3
472
    'h1c7 : romdata_int = 'h1265;
473
    'h1c8 : romdata_int = 'h2480;
474
    'h1c9 : romdata_int = 'h5e43;
475
    'h1ca : romdata_int = 'h278; // Line descriptor for 1_3
476
    'h1cb : romdata_int = 'h2a91;
477
    'h1cc : romdata_int = 'h2c85;
478
    'h1cd : romdata_int = 'h454a;
479
    'h1ce : romdata_int = 'h4278; // Line descriptor for 1_3
480
    'h1cf : romdata_int = 'h1321;
481
    'h1d0 : romdata_int = 'h2036;
482
    'h1d1 : romdata_int = 'h2ee3;
483
    'h1d2 : romdata_int = 'h278; // Line descriptor for 1_3
484
    'h1d3 : romdata_int = 'h461;
485
    'h1d4 : romdata_int = 'h26b1;
486
    'h1d5 : romdata_int = 'h5b07;
487
    'h1d6 : romdata_int = 'h278; // Line descriptor for 1_3
488
    'h1d7 : romdata_int = 'h206c;
489
    'h1d8 : romdata_int = 'h1138;
490
    'h1d9 : romdata_int = 'h123f;
491
    'h1da : romdata_int = 'h278; // Line descriptor for 1_3
492
    'h1db : romdata_int = 'h1c97;
493
    'h1dc : romdata_int = 'h6c29;
494
    'h1dd : romdata_int = 'h2137;
495
    'h1de : romdata_int = 'h278; // Line descriptor for 1_3
496
    'h1df : romdata_int = 'h86;
497
    'h1e0 : romdata_int = 'h163e;
498
    'h1e1 : romdata_int = 'h1490;
499
    'h1e2 : romdata_int = 'h4278; // Line descriptor for 1_3
500
    'h1e3 : romdata_int = 'h2d43;
501
    'h1e4 : romdata_int = 'h4e0;
502
    'h1e5 : romdata_int = 'h89;
503
    'h1e6 : romdata_int = 'h278; // Line descriptor for 1_3
504
    'h1e7 : romdata_int = 'hf48;
505
    'h1e8 : romdata_int = 'h165c;
506
    'h1e9 : romdata_int = 'h5877;
507
    'h1ea : romdata_int = 'h278; // Line descriptor for 1_3
508
    'h1eb : romdata_int = 'h2206;
509
    'h1ec : romdata_int = 'h680a;
510
    'h1ed : romdata_int = 'h7242;
511
    'h1ee : romdata_int = 'h278; // Line descriptor for 1_3
512
    'h1ef : romdata_int = 'h1aed;
513
    'h1f0 : romdata_int = 'h44b0;
514
    'h1f1 : romdata_int = 'h46f5;
515
    'h1f2 : romdata_int = 'h278; // Line descriptor for 1_3
516
    'h1f3 : romdata_int = 'hf05;
517
    'h1f4 : romdata_int = 'h10ce;
518
    'h1f5 : romdata_int = 'h7458;
519
    'h1f6 : romdata_int = 'h4278; // Line descriptor for 1_3
520
    'h1f7 : romdata_int = 'h1546;
521
    'h1f8 : romdata_int = 'h2ced;
522
    'h1f9 : romdata_int = 'h5cd3;
523
    'h1fa : romdata_int = 'h278; // Line descriptor for 1_3
524
    'h1fb : romdata_int = 'h1c3f;
525
    'h1fc : romdata_int = 'h414c;
526
    'h1fd : romdata_int = 'h1461;
527
    'h1fe : romdata_int = 'h278; // Line descriptor for 1_3
528
    'h1ff : romdata_int = 'hb53;
529
    'h200 : romdata_int = 'h16c3;
530
    'h201 : romdata_int = 'h20ee;
531
    'h202 : romdata_int = 'h278; // Line descriptor for 1_3
532
    'h203 : romdata_int = 'h2b9;
533
    'h204 : romdata_int = 'hea1;
534
    'h205 : romdata_int = 'h1915;
535
    'h206 : romdata_int = 'h278; // Line descriptor for 1_3
536
    'h207 : romdata_int = 'h642;
537
    'h208 : romdata_int = 'h2249;
538
    'h209 : romdata_int = 'h3a94;
539
    'h20a : romdata_int = 'h4278; // Line descriptor for 1_3
540
    'h20b : romdata_int = 'h12c0;
541
    'h20c : romdata_int = 'h4aa0;
542
    'h20d : romdata_int = 'h569b;
543
    'h20e : romdata_int = 'h278; // Line descriptor for 1_3
544
    'h20f : romdata_int = 'hc25;
545
    'h210 : romdata_int = 'h1e9e;
546
    'h211 : romdata_int = 'h24ee;
547
    'h212 : romdata_int = 'h278; // Line descriptor for 1_3
548
    'h213 : romdata_int = 'h4;
549
    'h214 : romdata_int = 'haa6;
550
    'h215 : romdata_int = 'h10fe;
551
    'h216 : romdata_int = 'h278; // Line descriptor for 1_3
552
    'h217 : romdata_int = 'h46b;
553
    'h218 : romdata_int = 'hf2a;
554
    'h219 : romdata_int = 'h6e22;
555
    'h21a : romdata_int = 'h278; // Line descriptor for 1_3
556
    'h21b : romdata_int = 'h8d5;
557
    'h21c : romdata_int = 'h2260;
558
    'h21d : romdata_int = 'h7343;
559
    'h21e : romdata_int = 'h4278; // Line descriptor for 1_3
560
    'h21f : romdata_int = 'h1abb;
561
    'h220 : romdata_int = 'h28eb;
562
    'h221 : romdata_int = 'h52d6;
563
    'h222 : romdata_int = 'h278; // Line descriptor for 1_3
564
    'h223 : romdata_int = 'h312;
565
    'h224 : romdata_int = 'hd52;
566
    'h225 : romdata_int = 'h2091;
567
    'h226 : romdata_int = 'h278; // Line descriptor for 1_3
568
    'h227 : romdata_int = 'h92b;
569
    'h228 : romdata_int = 'h1b1f;
570
    'h229 : romdata_int = 'h774b;
571
    'h22a : romdata_int = 'h278; // Line descriptor for 1_3
572
    'h22b : romdata_int = 'haf2;
573
    'h22c : romdata_int = 'hc0e;
574
    'h22d : romdata_int = 'h273b;
575
    'h22e : romdata_int = 'h278; // Line descriptor for 1_3
576
    'h22f : romdata_int = 'h4205;
577
    'h230 : romdata_int = 'h608e;
578
    'h231 : romdata_int = 'h76d3;
579
    'h232 : romdata_int = 'h4278; // Line descriptor for 1_3
580
    'h233 : romdata_int = 'h728;
581
    'h234 : romdata_int = 'h3167;
582
    'h235 : romdata_int = 'h6b66;
583
    'h236 : romdata_int = 'h278; // Line descriptor for 1_3
584
    'h237 : romdata_int = 'hb2c;
585
    'h238 : romdata_int = 'h1e39;
586
    'h239 : romdata_int = 'h1e8b;
587
    'h23a : romdata_int = 'h278; // Line descriptor for 1_3
588
    'h23b : romdata_int = 'h247e;
589
    'h23c : romdata_int = 'h5162;
590
    'h23d : romdata_int = 'h68d2;
591
    'h23e : romdata_int = 'h278; // Line descriptor for 1_3
592
    'h23f : romdata_int = 'h101c;
593
    'h240 : romdata_int = 'h10fd;
594
    'h241 : romdata_int = 'h3274;
595
    'h242 : romdata_int = 'h278; // Line descriptor for 1_3
596
    'h243 : romdata_int = 'h9a;
597
    'h244 : romdata_int = 'hd4f;
598
    'h245 : romdata_int = 'h12e5;
599
    'h246 : romdata_int = 'h4278; // Line descriptor for 1_3
600
    'h247 : romdata_int = 'h16ca;
601
    'h248 : romdata_int = 'h1cfc;
602
    'h249 : romdata_int = 'h1cfe;
603
    'h24a : romdata_int = 'h278; // Line descriptor for 1_3
604
    'h24b : romdata_int = 'h147e;
605
    'h24c : romdata_int = 'h18ad;
606
    'h24d : romdata_int = 'h2430;
607
    'h24e : romdata_int = 'h278; // Line descriptor for 1_3
608
    'h24f : romdata_int = 'h455;
609
    'h250 : romdata_int = 'h200e;
610
    'h251 : romdata_int = 'h6a48;
611
    'h252 : romdata_int = 'h278; // Line descriptor for 1_3
612
    'h253 : romdata_int = 'he66;
613
    'h254 : romdata_int = 'h2458;
614
    'h255 : romdata_int = 'h7622;
615
    'h256 : romdata_int = 'h278; // Line descriptor for 1_3
616
    'h257 : romdata_int = 'h864;
617
    'h258 : romdata_int = 'h1817;
618
    'h259 : romdata_int = 'h1d38;
619
    'h25a : romdata_int = 'h4278; // Line descriptor for 1_3
620
    'h25b : romdata_int = 'h24b5;
621
    'h25c : romdata_int = 'h3d4c;
622
    'h25d : romdata_int = 'h6052;
623
    'h25e : romdata_int = 'h278; // Line descriptor for 1_3
624
    'h25f : romdata_int = 'ha88;
625
    'h260 : romdata_int = 'h160b;
626
    'h261 : romdata_int = 'h5887;
627
    'h262 : romdata_int = 'h278; // Line descriptor for 1_3
628
    'h263 : romdata_int = 'h1470;
629
    'h264 : romdata_int = 'h1ee5;
630
    'h265 : romdata_int = 'h4e13;
631
    'h266 : romdata_int = 'h278; // Line descriptor for 1_3
632
    'h267 : romdata_int = 'hae;
633
    'h268 : romdata_int = 'h2238;
634
    'h269 : romdata_int = 'h647b;
635
    'h26a : romdata_int = 'h278; // Line descriptor for 1_3
636
    'h26b : romdata_int = 'h1958;
637
    'h26c : romdata_int = 'h24a8;
638
    'h26d : romdata_int = 'h7424;
639
    'h26e : romdata_int = 'h4278; // Line descriptor for 1_3
640
    'h26f : romdata_int = 'h491;
641
    'h270 : romdata_int = 'hf16;
642
    'h271 : romdata_int = 'h6d1d;
643
    'h272 : romdata_int = 'h278; // Line descriptor for 1_3
644
    'h273 : romdata_int = 'h2057;
645
    'h274 : romdata_int = 'h1842;
646
    'h275 : romdata_int = 'h2465;
647
    'h276 : romdata_int = 'h278; // Line descriptor for 1_3
648
    'h277 : romdata_int = 'hd5;
649
    'h278 : romdata_int = 'h1b3d;
650
    'h279 : romdata_int = 'h20f4;
651
    'h27a : romdata_int = 'h278; // Line descriptor for 1_3
652
    'h27b : romdata_int = 'h51e;
653
    'h27c : romdata_int = 'h1e3c;
654
    'h27d : romdata_int = 'h3633;
655
    'h27e : romdata_int = 'h278; // Line descriptor for 1_3
656
    'h27f : romdata_int = 'h10b;
657
    'h280 : romdata_int = 'h936;
658
    'h281 : romdata_int = 'h26d4;
659
    'h282 : romdata_int = 'h4278; // Line descriptor for 1_3
660
    'h283 : romdata_int = 'h3a54;
661
    'h284 : romdata_int = 'h4af2;
662
    'h285 : romdata_int = 'h6486;
663
    'h286 : romdata_int = 'h278; // Line descriptor for 1_3
664
    'h287 : romdata_int = 'h1119;
665
    'h288 : romdata_int = 'h1687;
666
    'h289 : romdata_int = 'h182b;
667
    'h28a : romdata_int = 'h278; // Line descriptor for 1_3
668
    'h28b : romdata_int = 'h72c;
669
    'h28c : romdata_int = 'h33b;
670
    'h28d : romdata_int = 'h5747;
671
    'h28e : romdata_int = 'h278; // Line descriptor for 1_3
672
    'h28f : romdata_int = 'hcab;
673
    'h290 : romdata_int = 'h1706;
674
    'h291 : romdata_int = 'h62d;
675
    'h292 : romdata_int = 'h278; // Line descriptor for 1_3
676
    'h293 : romdata_int = 'h141f;
677
    'h294 : romdata_int = 'h22d8;
678
    'h295 : romdata_int = 'h649b;
679
    'h296 : romdata_int = 'h4278; // Line descriptor for 1_3
680
    'h297 : romdata_int = 'h28cf;
681
    'h298 : romdata_int = 'h3229;
682
    'h299 : romdata_int = 'h4818;
683
    'h29a : romdata_int = 'h278; // Line descriptor for 1_3
684
    'h29b : romdata_int = 'h1f66;
685
    'h29c : romdata_int = 'h1f4d;
686
    'h29d : romdata_int = 'h102a;
687
    'h29e : romdata_int = 'h278; // Line descriptor for 1_3
688
    'h29f : romdata_int = 'h1e81;
689
    'h2a0 : romdata_int = 'h391c;
690
    'h2a1 : romdata_int = 'he4d;
691
    'h2a2 : romdata_int = 'h278; // Line descriptor for 1_3
692
    'h2a3 : romdata_int = 'h4d5;
693
    'h2a4 : romdata_int = 'h223a;
694
    'h2a5 : romdata_int = 'h6ace;
695
    'h2a6 : romdata_int = 'h278; // Line descriptor for 1_3
696
    'h2a7 : romdata_int = 'h83b;
697
    'h2a8 : romdata_int = 'hb22;
698
    'h2a9 : romdata_int = 'h153f;
699
    'h2aa : romdata_int = 'h4278; // Line descriptor for 1_3
700
    'h2ab : romdata_int = 'h48a;
701
    'h2ac : romdata_int = 'h4e70;
702
    'h2ad : romdata_int = 'h6712;
703
    'h2ae : romdata_int = 'h278; // Line descriptor for 1_3
704
    'h2af : romdata_int = 'h1423;
705
    'h2b0 : romdata_int = 'h2ee4;
706
    'h2b1 : romdata_int = 'h3a7a;
707
    'h2b2 : romdata_int = 'h278; // Line descriptor for 1_3
708
    'h2b3 : romdata_int = 'ha5c;
709
    'h2b4 : romdata_int = 'h1758;
710
    'h2b5 : romdata_int = 'h1f1e;
711
    'h2b6 : romdata_int = 'h278; // Line descriptor for 1_3
712
    'h2b7 : romdata_int = 'h862;
713
    'h2b8 : romdata_int = 'h148c;
714
    'h2b9 : romdata_int = 'h26f3;
715
    'h2ba : romdata_int = 'h278; // Line descriptor for 1_3
716
    'h2bb : romdata_int = 'h10c9;
717
    'h2bc : romdata_int = 'h167b;
718
    'h2bd : romdata_int = 'h7078;
719
    'h2be : romdata_int = 'h4278; // Line descriptor for 1_3
720
    'h2bf : romdata_int = 'h14da;
721
    'h2c0 : romdata_int = 'h1f45;
722
    'h2c1 : romdata_int = 'h3157;
723
    'h2c2 : romdata_int = 'h278; // Line descriptor for 1_3
724
    'h2c3 : romdata_int = 'h954;
725
    'h2c4 : romdata_int = 'h16db;
726
    'h2c5 : romdata_int = 'h1d45;
727
    'h2c6 : romdata_int = 'h278; // Line descriptor for 1_3
728
    'h2c7 : romdata_int = 'h2203;
729
    'h2c8 : romdata_int = 'h2445;
730
    'h2c9 : romdata_int = 'h40f2;
731
    'h2ca : romdata_int = 'h278; // Line descriptor for 1_3
732
    'h2cb : romdata_int = 'h852;
733
    'h2cc : romdata_int = 'h3523;
734
    'h2cd : romdata_int = 'h4317;
735
    'h2ce : romdata_int = 'h278; // Line descriptor for 1_3
736
    'h2cf : romdata_int = 'h673;
737
    'h2d0 : romdata_int = 'h1c1e;
738
    'h2d1 : romdata_int = 'h246e;
739
    'h2d2 : romdata_int = 'h4278; // Line descriptor for 1_3
740
    'h2d3 : romdata_int = 'h279;
741
    'h2d4 : romdata_int = 'hd4d;
742
    'h2d5 : romdata_int = 'h1645;
743
    'h2d6 : romdata_int = 'h278; // Line descriptor for 1_3
744
    'h2d7 : romdata_int = 'h6f3;
745
    'h2d8 : romdata_int = 'h22b4;
746
    'h2d9 : romdata_int = 'h60a6;
747
    'h2da : romdata_int = 'h278; // Line descriptor for 1_3
748
    'h2db : romdata_int = 'h4ccb;
749
    'h2dc : romdata_int = 'hb49;
750
    'h2dd : romdata_int = 'hef3;
751
    'h2de : romdata_int = 'h278; // Line descriptor for 1_3
752
    'h2df : romdata_int = 'h70b8;
753
    'h2e0 : romdata_int = 'h4a2c;
754
    'h2e1 : romdata_int = 'h4cee;
755
    'h2e2 : romdata_int = 'h278; // Line descriptor for 1_3
756
    'h2e3 : romdata_int = 'h2b8;
757
    'h2e4 : romdata_int = 'h4a5;
758
    'h2e5 : romdata_int = 'h341c;
759
    'h2e6 : romdata_int = 'h4278; // Line descriptor for 1_3
760
    'h2e7 : romdata_int = 'h137;
761
    'h2e8 : romdata_int = 'h2687;
762
    'h2e9 : romdata_int = 'h5ab4;
763
    'h2ea : romdata_int = 'h278; // Line descriptor for 1_3
764
    'h2eb : romdata_int = 'h890;
765
    'h2ec : romdata_int = 'h1c78;
766
    'h2ed : romdata_int = 'h36ef;
767
    'h2ee : romdata_int = 'h278; // Line descriptor for 1_3
768
    'h2ef : romdata_int = 'h302;
769
    'h2f0 : romdata_int = 'h6665;
770
    'h2f1 : romdata_int = 'h72e1;
771
    'h2f2 : romdata_int = 'h278; // Line descriptor for 1_3
772
    'h2f3 : romdata_int = 'h3032;
773
    'h2f4 : romdata_int = 'h3e0d;
774
    'h2f5 : romdata_int = 'h4c4f;
775
    'h2f6 : romdata_int = 'h278; // Line descriptor for 1_3
776
    'h2f7 : romdata_int = 'h16ee;
777
    'h2f8 : romdata_int = 'h1a2c;
778
    'h2f9 : romdata_int = 'h1a9a;
779
    'h2fa : romdata_int = 'h4278; // Line descriptor for 1_3
780
    'h2fb : romdata_int = 'h251e;
781
    'h2fc : romdata_int = 'h473e;
782
    'h2fd : romdata_int = 'h6f0b;
783
    'h2fe : romdata_int = 'h278; // Line descriptor for 1_3
784
    'h2ff : romdata_int = 'hc9f;
785
    'h300 : romdata_int = 'h22c8;
786
    'h301 : romdata_int = 'h6325;
787
    'h302 : romdata_int = 'h278; // Line descriptor for 1_3
788
    'h303 : romdata_int = 'h263d;
789
    'h304 : romdata_int = 'h12c0;
790
    'h305 : romdata_int = 'h1218;
791
    'h306 : romdata_int = 'h278; // Line descriptor for 1_3
792
    'h307 : romdata_int = 'h1835;
793
    'h308 : romdata_int = 'h1882;
794
    'h309 : romdata_int = 'h26d9;
795
    'h30a : romdata_int = 'h278; // Line descriptor for 1_3
796
    'h30b : romdata_int = 'h62df;
797
    'h30c : romdata_int = 'h2759;
798
    'h30d : romdata_int = 'h263a;
799
    'h30e : romdata_int = 'h4278; // Line descriptor for 1_3
800
    'h30f : romdata_int = 'h467;
801
    'h310 : romdata_int = 'h6a7;
802
    'h311 : romdata_int = 'h12eb;
803
    'h312 : romdata_int = 'h278; // Line descriptor for 1_3
804
    'h313 : romdata_int = 'h5364;
805
    'h314 : romdata_int = 'h1ca6;
806
    'h315 : romdata_int = 'h395f;
807
    'h316 : romdata_int = 'h278; // Line descriptor for 1_3
808
    'h317 : romdata_int = 'he1a;
809
    'h318 : romdata_int = 'h12fd;
810
    'h319 : romdata_int = 'h5352;
811
    'h31a : romdata_int = 'h278; // Line descriptor for 1_3
812
    'h31b : romdata_int = 'h8;
813
    'h31c : romdata_int = 'h1ca8;
814
    'h31d : romdata_int = 'h4854;
815
    'h31e : romdata_int = 'h278; // Line descriptor for 1_3
816
    'h31f : romdata_int = 'h27f;
817
    'h320 : romdata_int = 'h3419;
818
    'h321 : romdata_int = 'h3cbd;
819
    'h322 : romdata_int = 'h4278; // Line descriptor for 1_3
820
    'h323 : romdata_int = 'h147f;
821
    'h324 : romdata_int = 'h210b;
822
    'h325 : romdata_int = 'h3352;
823
    'h326 : romdata_int = 'h278; // Line descriptor for 1_3
824
    'h327 : romdata_int = 'hb27;
825
    'h328 : romdata_int = 'h1033;
826
    'h329 : romdata_int = 'h3e79;
827
    'h32a : romdata_int = 'h278; // Line descriptor for 1_3
828
    'h32b : romdata_int = 'h1b31;
829
    'h32c : romdata_int = 'hc70;
830
    'h32d : romdata_int = 'h8b6;
831
    'h32e : romdata_int = 'h278; // Line descriptor for 1_3
832
    'h32f : romdata_int = 'h4f4e;
833
    'h330 : romdata_int = 'h5e05;
834
    'h331 : romdata_int = 'h1a0a;
835
    'h332 : romdata_int = 'h278; // Line descriptor for 1_3
836
    'h333 : romdata_int = 'hc75;
837
    'h334 : romdata_int = 'h1208;
838
    'h335 : romdata_int = 'h550b;
839
    'h336 : romdata_int = 'h4278; // Line descriptor for 1_3
840
    'h337 : romdata_int = 'h1050;
841
    'h338 : romdata_int = 'h1ac4;
842
    'h339 : romdata_int = 'h424f;
843
    'h33a : romdata_int = 'h278; // Line descriptor for 1_3
844
    'h33b : romdata_int = 'h67c;
845
    'h33c : romdata_int = 'h6a4;
846
    'h33d : romdata_int = 'hd38;
847
    'h33e : romdata_int = 'h278; // Line descriptor for 1_3
848
    'h33f : romdata_int = 'h3955;
849
    'h340 : romdata_int = 'h4134;
850
    'h341 : romdata_int = 'h5a38;
851
    'h342 : romdata_int = 'h278; // Line descriptor for 1_3
852
    'h343 : romdata_int = 'hc82;
853
    'h344 : romdata_int = 'h553d;
854
    'h345 : romdata_int = 'h6ef4;
855
    'h346 : romdata_int = 'h278; // Line descriptor for 1_3
856
    'h347 : romdata_int = 'h122;
857
    'h348 : romdata_int = 'h2126;
858
    'h349 : romdata_int = 'h50b3;
859
    'h34a : romdata_int = 'h4278; // Line descriptor for 1_3
860
    'h34b : romdata_int = 'h29b;
861
    'h34c : romdata_int = 'h105f;
862
    'h34d : romdata_int = 'h7015;
863
    'h34e : romdata_int = 'h278; // Line descriptor for 1_3
864
    'h34f : romdata_int = 'h125d;
865
    'h350 : romdata_int = 'h40d;
866
    'h351 : romdata_int = 'h27c;
867
    'h352 : romdata_int = 'h278; // Line descriptor for 1_3
868
    'h353 : romdata_int = 'h1873;
869
    'h354 : romdata_int = 'h2698;
870
    'h355 : romdata_int = 'h6944;
871
    'h356 : romdata_int = 'h278; // Line descriptor for 1_3
872
    'h357 : romdata_int = 'h127b;
873
    'h358 : romdata_int = 'h575c;
874
    'h359 : romdata_int = 'h1867;
875
    'h35a : romdata_int = 'h278; // Line descriptor for 1_3
876
    'h35b : romdata_int = 'h294c;
877
    'h35c : romdata_int = 'h5f25;
878
    'h35d : romdata_int = 'h74d9;
879
    'h35e : romdata_int = 'h4278; // Line descriptor for 1_3
880
    'h35f : romdata_int = 'h6a6;
881
    'h360 : romdata_int = 'h1ac9;
882
    'h361 : romdata_int = 'h2360;
883
    'h362 : romdata_int = 'h278; // Line descriptor for 1_3
884
    'h363 : romdata_int = 'heee;
885
    'h364 : romdata_int = 'h1e6b;
886
    'h365 : romdata_int = 'h6245;
887
    'h366 : romdata_int = 'h278; // Line descriptor for 1_3
888
    'h367 : romdata_int = 'h1d4b;
889
    'h368 : romdata_int = 'h2262;
890
    'h369 : romdata_int = 'h446b;
891
    'h36a : romdata_int = 'h278; // Line descriptor for 1_3
892
    'h36b : romdata_int = 'h8f2;
893
    'h36c : romdata_int = 'h271c;
894
    'h36d : romdata_int = 'h2721;
895
    'h36e : romdata_int = 'h278; // Line descriptor for 1_3
896
    'h36f : romdata_int = 'h2b44;
897
    'h370 : romdata_int = 'h3e81;
898
    'h371 : romdata_int = 'h214c;
899
    'h372 : romdata_int = 'h4278; // Line descriptor for 1_3
900
    'h373 : romdata_int = 'h1b5b;
901
    'h374 : romdata_int = 'h5038;
902
    'h375 : romdata_int = 'h2a76;
903
    'h376 : romdata_int = 'h278; // Line descriptor for 1_3
904
    'h377 : romdata_int = 'h18c5;
905
    'h378 : romdata_int = 'h3d5b;
906
    'h379 : romdata_int = 'h6629;
907
    'h37a : romdata_int = 'h278; // Line descriptor for 1_3
908
    'h37b : romdata_int = 'h260;
909
    'h37c : romdata_int = 'ha48;
910
    'h37d : romdata_int = 'he0a;
911
    'h37e : romdata_int = 'h278; // Line descriptor for 1_3
912
    'h37f : romdata_int = 'h643;
913
    'h380 : romdata_int = 'h6b0;
914
    'h381 : romdata_int = 'h5506;
915
    'h382 : romdata_int = 'h278; // Line descriptor for 1_3
916
    'h383 : romdata_int = 'h238;
917
    'h384 : romdata_int = 'he32;
918
    'h385 : romdata_int = 'h10a2;
919
    'h386 : romdata_int = 'h6278; // Line descriptor for 1_3
920
    'h387 : romdata_int = 'h528;
921
    'h388 : romdata_int = 'h1cf5;
922
    'h389 : romdata_int = 'h492f;
923
    'h38a : romdata_int = 'h36c; // Line descriptor for 2_5
924
    'h38b : romdata_int = 'h2e;
925
    'h38c : romdata_int = 'hb0;
926
    'h38d : romdata_int = 'h4107;
927
    'h38e : romdata_int = 'h8f1c;
928
    'h38f : romdata_int = 'h36c; // Line descriptor for 2_5
929
    'h390 : romdata_int = 'h22f3;
930
    'h391 : romdata_int = 'h2680;
931
    'h392 : romdata_int = 'h2ead;
932
    'h393 : romdata_int = 'h5a42;
933
    'h394 : romdata_int = 'h36c; // Line descriptor for 2_5
934
    'h395 : romdata_int = 'hd38;
935
    'h396 : romdata_int = 'h1763;
936
    'h397 : romdata_int = 'h38d6;
937
    'h398 : romdata_int = 'h5089;
938
    'h399 : romdata_int = 'h436c; // Line descriptor for 2_5
939
    'h39a : romdata_int = 'h27f;
940
    'h39b : romdata_int = 'h1937;
941
    'h39c : romdata_int = 'h2ed5;
942
    'h39d : romdata_int = 'h632a;
943
    'h39e : romdata_int = 'h36c; // Line descriptor for 2_5
944
    'h39f : romdata_int = 'habd;
945
    'h3a0 : romdata_int = 'h1b4c;
946
    'h3a1 : romdata_int = 'h2107;
947
    'h3a2 : romdata_int = 'h8e89;
948
    'h3a3 : romdata_int = 'h36c; // Line descriptor for 2_5
949
    'h3a4 : romdata_int = 'h8c9;
950
    'h3a5 : romdata_int = 'ha67;
951
    'h3a6 : romdata_int = 'h1c14;
952
    'h3a7 : romdata_int = 'h853a;
953
    'h3a8 : romdata_int = 'h36c; // Line descriptor for 2_5
954
    'h3a9 : romdata_int = 'h14e5;
955
    'h3aa : romdata_int = 'h2236;
956
    'h3ab : romdata_int = 'h22f9;
957
    'h3ac : romdata_int = 'h5896;
958
    'h3ad : romdata_int = 'h436c; // Line descriptor for 2_5
959
    'h3ae : romdata_int = 'h1338;
960
    'h3af : romdata_int = 'h30a0;
961
    'h3b0 : romdata_int = 'h403d;
962
    'h3b1 : romdata_int = 'h7b3d;
963
    'h3b2 : romdata_int = 'h36c; // Line descriptor for 2_5
964
    'h3b3 : romdata_int = 'h10df;
965
    'h3b4 : romdata_int = 'h1887;
966
    'h3b5 : romdata_int = 'h28b1;
967
    'h3b6 : romdata_int = 'h5c58;
968
    'h3b7 : romdata_int = 'h36c; // Line descriptor for 2_5
969
    'h3b8 : romdata_int = 'h461;
970
    'h3b9 : romdata_int = 'h1408;
971
    'h3ba : romdata_int = 'h26db;
972
    'h3bb : romdata_int = 'h5718;
973
    'h3bc : romdata_int = 'h36c; // Line descriptor for 2_5
974
    'h3bd : romdata_int = 'h1690;
975
    'h3be : romdata_int = 'h24e2;
976
    'h3bf : romdata_int = 'h2ae6;
977
    'h3c0 : romdata_int = 'h8663;
978
    'h3c1 : romdata_int = 'h436c; // Line descriptor for 2_5
979
    'h3c2 : romdata_int = 'h183e;
980
    'h3c3 : romdata_int = 'h1ea6;
981
    'h3c4 : romdata_int = 'h226c;
982
    'h3c5 : romdata_int = 'h54a0;
983
    'h3c6 : romdata_int = 'h36c; // Line descriptor for 2_5
984
    'h3c7 : romdata_int = 'h86;
985
    'h3c8 : romdata_int = 'h4e0;
986
    'h3c9 : romdata_int = 'h2a26;
987
    'h3ca : romdata_int = 'h5ccb;
988
    'h3cb : romdata_int = 'h36c; // Line descriptor for 2_5
989
    'h3cc : romdata_int = 'hf48;
990
    'h3cd : romdata_int = 'h89;
991
    'h3ce : romdata_int = 'h78cd;
992
    'h3cf : romdata_int = 'h1135;
993
    'h3d0 : romdata_int = 'h36c; // Line descriptor for 2_5
994
    'h3d1 : romdata_int = 'h1ced;
995
    'h3d2 : romdata_int = 'h3735;
996
    'h3d3 : romdata_int = 'h3521;
997
    'h3d4 : romdata_int = 'h5ed9;
998
    'h3d5 : romdata_int = 'h436c; // Line descriptor for 2_5
999
    'h3d6 : romdata_int = 'h727a;
1000
    'h3d7 : romdata_int = 'h1ccb;
1001
    'h3d8 : romdata_int = 'h2d08;
1002
    'h3d9 : romdata_int = 'h2f62;
1003
    'h3da : romdata_int = 'h36c; // Line descriptor for 2_5
1004
    'h3db : romdata_int = 'h1ef5;
1005
    'h3dc : romdata_int = 'h22ee;
1006
    'h3dd : romdata_int = 'h7661;
1007
    'h3de : romdata_int = 'h2aba;
1008
    'h3df : romdata_int = 'h36c; // Line descriptor for 2_5
1009
    'h3e0 : romdata_int = 'h877;
1010
    'h3e1 : romdata_int = 'h1144;
1011
    'h3e2 : romdata_int = 'h2ee4;
1012
    'h3e3 : romdata_int = 'h760b;
1013
    'h3e4 : romdata_int = 'h36c; // Line descriptor for 2_5
1014
    'h3e5 : romdata_int = 'hea1;
1015
    'h3e6 : romdata_int = 'h12ce;
1016
    'h3e7 : romdata_int = 'h2a70;
1017
    'h3e8 : romdata_int = 'h7ec4;
1018
    'h3e9 : romdata_int = 'h436c; // Line descriptor for 2_5
1019
    'h3ea : romdata_int = 'hd4f;
1020
    'h3eb : romdata_int = 'h10d6;
1021
    'h3ec : romdata_int = 'h1746;
1022
    'h3ed : romdata_int = 'h887a;
1023
    'h3ee : romdata_int = 'h36c; // Line descriptor for 2_5
1024
    'h3ef : romdata_int = 'h33b;
1025
    'h3f0 : romdata_int = 'haa6;
1026
    'h3f1 : romdata_int = 'h1b15;
1027
    'h3f2 : romdata_int = 'h760e;
1028
    'h3f3 : romdata_int = 'h36c; // Line descriptor for 2_5
1029
    'h3f4 : romdata_int = 'hf2a;
1030
    'h3f5 : romdata_int = 'h1d1f;
1031
    'h3f6 : romdata_int = 'h2c0d;
1032
    'h3f7 : romdata_int = 'h60ad;
1033
    'h3f8 : romdata_int = 'h36c; // Line descriptor for 2_5
1034
    'h3f9 : romdata_int = 'h46b;
1035
    'h3fa : romdata_int = 'h18c3;
1036
    'h3fb : romdata_int = 'h2034;
1037
    'h3fc : romdata_int = 'h7046;
1038
    'h3fd : romdata_int = 'h436c; // Line descriptor for 2_5
1039
    'h3fe : romdata_int = 'h4;
1040
    'h3ff : romdata_int = 'h12fe;
1041
    'h400 : romdata_int = 'h145d;
1042
    'h401 : romdata_int = 'h82b8;
1043
    'h402 : romdata_int = 'h36c; // Line descriptor for 2_5
1044
    'h403 : romdata_int = 'h642;
1045
    'h404 : romdata_int = 'h84a;
1046
    'h405 : romdata_int = 'haf2;
1047
    'h406 : romdata_int = 'h62fe;
1048
    'h407 : romdata_int = 'h36c; // Line descriptor for 2_5
1049
    'h408 : romdata_int = 'h209e;
1050
    'h409 : romdata_int = 'h267e;
1051
    'h40a : romdata_int = 'h30b0;
1052
    'h40b : romdata_int = 'h7062;
1053
    'h40c : romdata_int = 'h36c; // Line descriptor for 2_5
1054
    'h40d : romdata_int = 'h8d5;
1055
    'h40e : romdata_int = 'h108d;
1056
    'h40f : romdata_int = 'h2667;
1057
    'h410 : romdata_int = 'h6b09;
1058
    'h411 : romdata_int = 'h436c; // Line descriptor for 2_5
1059
    'h412 : romdata_int = 'h312;
1060
    'h413 : romdata_int = 'h2039;
1061
    'h414 : romdata_int = 'h2d1d;
1062
    'h415 : romdata_int = 'h4eea;
1063
    'h416 : romdata_int = 'h36c; // Line descriptor for 2_5
1064
    'h417 : romdata_int = 'hd4d;
1065
    'h418 : romdata_int = 'h10a0;
1066
    'h419 : romdata_int = 'h444b;
1067
    'h41a : romdata_int = 'h6517;
1068
    'h41b : romdata_int = 'h36c; // Line descriptor for 2_5
1069
    'h41c : romdata_int = 'h299;
1070
    'h41d : romdata_int = 'h92b;
1071
    'h41e : romdata_int = 'h2e18;
1072
    'h41f : romdata_int = 'h8149;
1073
    'h420 : romdata_int = 'h36c; // Line descriptor for 2_5
1074
    'h421 : romdata_int = 'hcab;
1075
    'h422 : romdata_int = 'h6703;
1076
    'h423 : romdata_int = 'h6b0;
1077
    'h424 : romdata_int = 'h2549;
1078
    'h425 : romdata_int = 'h436c; // Line descriptor for 2_5
1079
    'h426 : romdata_int = 'h3762;
1080
    'h427 : romdata_int = 'hc8f;
1081
    'h428 : romdata_int = 'h6ab6;
1082
    'h429 : romdata_int = 'h16b4;
1083
    'h42a : romdata_int = 'h36c; // Line descriptor for 2_5
1084
    'h42b : romdata_int = 'h1221;
1085
    'h42c : romdata_int = 'h1e3f;
1086
    'h42d : romdata_int = 'h2467;
1087
    'h42e : romdata_int = 'h4c86;
1088
    'h42f : romdata_int = 'h36c; // Line descriptor for 2_5
1089
    'h430 : romdata_int = 'h3ed1;
1090
    'h431 : romdata_int = 'h8b20;
1091
    'h432 : romdata_int = 'h12fd;
1092
    'h433 : romdata_int = 'h2291;
1093
    'h434 : romdata_int = 'h36c; // Line descriptor for 2_5
1094
    'h435 : romdata_int = 'h9a;
1095
    'h436 : romdata_int = 'h14c0;
1096
    'h437 : romdata_int = 'h1f38;
1097
    'h438 : romdata_int = 'h72f8;
1098
    'h439 : romdata_int = 'h436c; // Line descriptor for 2_5
1099
    'h43a : romdata_int = 'hc70;
1100
    'h43b : romdata_int = 'h1a64;
1101
    'h43c : romdata_int = 'h2ae3;
1102
    'h43d : romdata_int = 'h8706;
1103
    'h43e : romdata_int = 'h36c; // Line descriptor for 2_5
1104
    'h43f : romdata_int = 'h121c;
1105
    'h440 : romdata_int = 'h1f4b;
1106
    'h441 : romdata_int = 'h2257;
1107
    'h442 : romdata_int = 'h6109;
1108
    'h443 : romdata_int = 'h36c; // Line descriptor for 2_5
1109
    'h444 : romdata_int = 'h455;
1110
    'h445 : romdata_int = 'h167e;
1111
    'h446 : romdata_int = 'h430f;
1112
    'h447 : romdata_int = 'h826b;
1113
    'h448 : romdata_int = 'h36c; // Line descriptor for 2_5
1114
    'h449 : romdata_int = 'h109b;
1115
    'h44a : romdata_int = 'h1b58;
1116
    'h44b : romdata_int = 'h18ca;
1117
    'h44c : romdata_int = 'h7c7b;
1118
    'h44d : romdata_int = 'h436c; // Line descriptor for 2_5
1119
    'h44e : romdata_int = 'h864;
1120
    'h44f : romdata_int = 'h168f;
1121
    'h450 : romdata_int = 'h1aad;
1122
    'h451 : romdata_int = 'h4a4e;
1123
    'h452 : romdata_int = 'h36c; // Line descriptor for 2_5
1124
    'h453 : romdata_int = 'he66;
1125
    'h454 : romdata_int = 'h208b;
1126
    'h455 : romdata_int = 'h6525;
1127
    'h456 : romdata_int = 'h2c79;
1128
    'h457 : romdata_int = 'h36c; // Line descriptor for 2_5
1129
    'h458 : romdata_int = 'h936;
1130
    'h459 : romdata_int = 'ha88;
1131
    'h45a : romdata_int = 'h26b5;
1132
    'h45b : romdata_int = 'h6505;
1133
    'h45c : romdata_int = 'h36c; // Line descriptor for 2_5
1134
    'h45d : romdata_int = 'h2469;
1135
    'h45e : romdata_int = 'hae;
1136
    'h45f : romdata_int = 'h1b06;
1137
    'h460 : romdata_int = 'h7553;
1138
    'h461 : romdata_int = 'h436c; // Line descriptor for 2_5
1139
    'h462 : romdata_int = 'h1670;
1140
    'h463 : romdata_int = 'h24ff;
1141
    'h464 : romdata_int = 'h3326;
1142
    'h465 : romdata_int = 'h88ca;
1143
    'h466 : romdata_int = 'h36c; // Line descriptor for 2_5
1144
    'h467 : romdata_int = 'hf16;
1145
    'h468 : romdata_int = 'h1ea1;
1146
    'h469 : romdata_int = 'h2166;
1147
    'h46a : romdata_int = 'h8a28;
1148
    'h46b : romdata_int = 'h36c; // Line descriptor for 2_5
1149
    'h46c : romdata_int = 'h4e6;
1150
    'h46d : romdata_int = 'h161f;
1151
    'h46e : romdata_int = 'h1a17;
1152
    'h46f : romdata_int = 'h4af0;
1153
    'h470 : romdata_int = 'h36c; // Line descriptor for 2_5
1154
    'h471 : romdata_int = 'hd5;
1155
    'h472 : romdata_int = 'h2f3e;
1156
    'h473 : romdata_int = 'h4009;
1157
    'h474 : romdata_int = 'h7493;
1158
    'h475 : romdata_int = 'h436c; // Line descriptor for 2_5
1159
    'h476 : romdata_int = 'hc41;
1160
    'h477 : romdata_int = 'h1d3d;
1161
    'h478 : romdata_int = 'h2630;
1162
    'h479 : romdata_int = 'h88c3;
1163
    'h47a : romdata_int = 'h36c; // Line descriptor for 2_5
1164
    'h47b : romdata_int = 'h10b;
1165
    'h47c : romdata_int = 'h51e;
1166
    'h47d : romdata_int = 'h2b59;
1167
    'h47e : romdata_int = 'h5248;
1168
    'h47f : romdata_int = 'h36c; // Line descriptor for 2_5
1169
    'h480 : romdata_int = 'h643;
1170
    'h481 : romdata_int = 'h173f;
1171
    'h482 : romdata_int = 'h293b;
1172
    'h483 : romdata_int = 'h4a7b;
1173
    'h484 : romdata_int = 'h36c; // Line descriptor for 2_5
1174
    'h485 : romdata_int = 'h2e54;
1175
    'h486 : romdata_int = 'h6a7;
1176
    'h487 : romdata_int = 'h687b;
1177
    'h488 : romdata_int = 'h27c;
1178
    'h489 : romdata_int = 'h436c; // Line descriptor for 2_5
1179
    'h48a : romdata_int = 'h44bb;
1180
    'h48b : romdata_int = 'h5813;
1181
    'h48c : romdata_int = 'h1a2b;
1182
    'h48d : romdata_int = 'h6c9;
1183
    'h48e : romdata_int = 'h36c; // Line descriptor for 2_5
1184
    'h48f : romdata_int = 'h1319;
1185
    'h490 : romdata_int = 'h1958;
1186
    'h491 : romdata_int = 'h36e6;
1187
    'h492 : romdata_int = 'h8a2c;
1188
    'h493 : romdata_int = 'h36c; // Line descriptor for 2_5
1189
    'h494 : romdata_int = 'h22f4;
1190
    'h495 : romdata_int = 'h2441;
1191
    'h496 : romdata_int = 'h2c81;
1192
    'h497 : romdata_int = 'h7d47;
1193
    'h498 : romdata_int = 'h36c; // Line descriptor for 2_5
1194
    'h499 : romdata_int = 'hc0e;
1195
    'h49a : romdata_int = 'h122a;
1196
    'h49b : romdata_int = 'h1a42;
1197
    'h49c : romdata_int = 'h4cb6;
1198
    'h49d : romdata_int = 'h436c; // Line descriptor for 2_5
1199
    'h49e : romdata_int = 'h10d0;
1200
    'h49f : romdata_int = 'h255e;
1201
    'h4a0 : romdata_int = 'h26a8;
1202
    'h4a1 : romdata_int = 'h7260;
1203
    'h4a2 : romdata_int = 'h36c; // Line descriptor for 2_5
1204
    'h4a3 : romdata_int = 'he4d;
1205
    'h4a4 : romdata_int = 'h1030;
1206
    'h4a5 : romdata_int = 'h3270;
1207
    'h4a6 : romdata_int = 'h6874;
1208
    'h4a7 : romdata_int = 'h36c; // Line descriptor for 2_5
1209
    'h4a8 : romdata_int = 'h18db;
1210
    'h4a9 : romdata_int = 'h2145;
1211
    'h4aa : romdata_int = 'h2d4c;
1212
    'h4ab : romdata_int = 'h80a1;
1213
    'h4ac : romdata_int = 'h36c; // Line descriptor for 2_5
1214
    'h4ad : romdata_int = 'h48a;
1215
    'h4ae : romdata_int = 'h4d5;
1216
    'h4af : romdata_int = 'h1522;
1217
    'h4b0 : romdata_int = 'h5f38;
1218
    'h4b1 : romdata_int = 'h436c; // Line descriptor for 2_5
1219
    'h4b2 : romdata_int = 'h12c9;
1220
    'h4b3 : romdata_int = 'h1845;
1221
    'h4b4 : romdata_int = 'h46f7;
1222
    'h4b5 : romdata_int = 'h664e;
1223
    'h4b6 : romdata_int = 'h36c; // Line descriptor for 2_5
1224
    'h4b7 : romdata_int = 'h1623;
1225
    'h4b8 : romdata_int = 'hb22;
1226
    'h4b9 : romdata_int = 'h520b;
1227
    'h4ba : romdata_int = 'h42b8;
1228
    'h4bb : romdata_int = 'h36c; // Line descriptor for 2_5
1229
    'h4bc : romdata_int = 'h5d67;
1230
    'h4bd : romdata_int = 'h28d4;
1231
    'h4be : romdata_int = 'h168c;
1232
    'h4bf : romdata_int = 'h1d1d;
1233
    'h4c0 : romdata_int = 'h36c; // Line descriptor for 2_5
1234
    'h4c1 : romdata_int = 'h862;
1235
    'h4c2 : romdata_int = 'hc25;
1236
    'h4c3 : romdata_int = 'h2ec7;
1237
    'h4c4 : romdata_int = 'h50ec;
1238
    'h4c5 : romdata_int = 'h436c; // Line descriptor for 2_5
1239
    'h4c6 : romdata_int = 'h253f;
1240
    'h4c7 : romdata_int = 'h266e;
1241
    'h4c8 : romdata_int = 'h2d61;
1242
    'h4c9 : romdata_int = 'h8537;
1243
    'h4ca : romdata_int = 'h36c; // Line descriptor for 2_5
1244
    'h4cb : romdata_int = 'ha5c;
1245
    'h4cc : romdata_int = 'h5ab5;
1246
    'h4cd : romdata_int = 'h954;
1247
    'h4ce : romdata_int = 'h2645;
1248
    'h4cf : romdata_int = 'h36c; // Line descriptor for 2_5
1249
    'h4d0 : romdata_int = 'h668d;
1250
    'h4d1 : romdata_int = 'h214d;
1251
    'h4d2 : romdata_int = 'hb49;
1252
    'h4d3 : romdata_int = 'h3b4f;
1253
    'h4d4 : romdata_int = 'h36c; // Line descriptor for 2_5
1254
    'h4d5 : romdata_int = 'h673;
1255
    'h4d6 : romdata_int = 'h187b;
1256
    'h4d7 : romdata_int = 'h2081;
1257
    'h4d8 : romdata_int = 'h74c6;
1258
    'h4d9 : romdata_int = 'h436c; // Line descriptor for 2_5
1259
    'h4da : romdata_int = 'h2b8;
1260
    'h4db : romdata_int = 'h10be;
1261
    'h4dc : romdata_int = 'h3c01;
1262
    'h4dd : romdata_int = 'h4913;
1263
    'h4de : romdata_int = 'h36c; // Line descriptor for 2_5
1264
    'h4df : romdata_int = 'h890;
1265
    'h4e0 : romdata_int = 'h2151;
1266
    'h4e1 : romdata_int = 'h4220;
1267
    'h4e2 : romdata_int = 'h551d;
1268
    'h4e3 : romdata_int = 'h36c; // Line descriptor for 2_5
1269
    'h4e4 : romdata_int = 'hef3;
1270
    'h4e5 : romdata_int = 'h2665;
1271
    'h4e6 : romdata_int = 'h4750;
1272
    'h4e7 : romdata_int = 'h62d2;
1273
    'h4e8 : romdata_int = 'h36c; // Line descriptor for 2_5
1274
    'h4e9 : romdata_int = 'h27b;
1275
    'h4ea : romdata_int = 'h1006;
1276
    'h4eb : romdata_int = 'h2749;
1277
    'h4ec : romdata_int = 'h5431;
1278
    'h4ed : romdata_int = 'h436c; // Line descriptor for 2_5
1279
    'h4ee : romdata_int = 'h137;
1280
    'h4ef : romdata_int = 'h16da;
1281
    'h4f0 : romdata_int = 'h2e36;
1282
    'h4f1 : romdata_int = 'h6c29;
1283
    'h4f2 : romdata_int = 'h36c; // Line descriptor for 2_5
1284
    'h4f3 : romdata_int = 'h4a5;
1285
    'h4f4 : romdata_int = 'h24a4;
1286
    'h4f5 : romdata_int = 'h2aed;
1287
    'h4f6 : romdata_int = 'h6e6e;
1288
    'h4f7 : romdata_int = 'h36c; // Line descriptor for 2_5
1289
    'h4f8 : romdata_int = 'h29b;
1290
    'h4f9 : romdata_int = 'h1031;
1291
    'h4fa : romdata_int = 'h28f3;
1292
    'h4fb : romdata_int = 'h56fa;
1293
    'h4fc : romdata_int = 'h36c; // Line descriptor for 2_5
1294
    'h4fd : romdata_int = 'h185c;
1295
    'h4fe : romdata_int = 'h18ee;
1296
    'h4ff : romdata_int = 'h3e87;
1297
    'h500 : romdata_int = 'h6a17;
1298
    'h501 : romdata_int = 'h436c; // Line descriptor for 2_5
1299
    'h502 : romdata_int = 'h2449;
1300
    'h503 : romdata_int = 'h3a61;
1301
    'h504 : romdata_int = 'h3c42;
1302
    'h505 : romdata_int = 'h60a7;
1303
    'h506 : romdata_int = 'h36c; // Line descriptor for 2_5
1304
    'h507 : romdata_int = 'h6e5;
1305
    'h508 : romdata_int = 'h1efc;
1306
    'h509 : romdata_int = 'h30fc;
1307
    'h50a : romdata_int = 'h4d54;
1308
    'h50b : romdata_int = 'h36c; // Line descriptor for 2_5
1309
    'h50c : romdata_int = 'h2a85;
1310
    'h50d : romdata_int = 'h2d2c;
1311
    'h50e : romdata_int = 'h8c33;
1312
    'h50f : romdata_int = 'h3f61;
1313
    'h510 : romdata_int = 'h36c; // Line descriptor for 2_5
1314
    'h511 : romdata_int = 'h143f;
1315
    'h512 : romdata_int = 'h1a35;
1316
    'h513 : romdata_int = 'h1c9a;
1317
    'h514 : romdata_int = 'h8c2c;
1318
    'h515 : romdata_int = 'h436c; // Line descriptor for 2_5
1319
    'h516 : romdata_int = 'h6a6;
1320
    'h517 : romdata_int = 'h1906;
1321
    'h518 : romdata_int = 'h255d;
1322
    'h519 : romdata_int = 'h8e9c;
1323
    'h51a : romdata_int = 'h36c; // Line descriptor for 2_5
1324
    'h51b : romdata_int = 'h467;
1325
    'h51c : romdata_int = 'he1a;
1326
    'h51d : romdata_int = 'hf58;
1327
    'h51e : romdata_int = 'h7a2f;
1328
    'h51f : romdata_int = 'h36c; // Line descriptor for 2_5
1329
    'h520 : romdata_int = 'h1418;
1330
    'h521 : romdata_int = 'h271e;
1331
    'h522 : romdata_int = 'h2c4f;
1332
    'h523 : romdata_int = 'h7e0d;
1333
    'h524 : romdata_int = 'h36c; // Line descriptor for 2_5
1334
    'h525 : romdata_int = 'h283d;
1335
    'h526 : romdata_int = 'h28d9;
1336
    'h527 : romdata_int = 'h2f2f;
1337
    'h528 : romdata_int = 'h4e43;
1338
    'h529 : romdata_int = 'h436c; // Line descriptor for 2_5
1339
    'h52a : romdata_int = 'h8;
1340
    'h52b : romdata_int = 'hb27;
1341
    'h52c : romdata_int = 'h14eb;
1342
    'h52d : romdata_int = 'h86b9;
1343
    'h52e : romdata_int = 'h36c; // Line descriptor for 2_5
1344
    'h52f : romdata_int = 'h238;
1345
    'h530 : romdata_int = 'h283a;
1346
    'h531 : romdata_int = 'h2959;
1347
    'h532 : romdata_int = 'h6889;
1348
    'h533 : romdata_int = 'h36c; // Line descriptor for 2_5
1349
    'h534 : romdata_int = 'h14fd;
1350
    'h535 : romdata_int = 'hc82;
1351
    'h536 : romdata_int = 'h24c8;
1352
    'h537 : romdata_int = 'h8332;
1353
    'h538 : romdata_int = 'h36c; // Line descriptor for 2_5
1354
    'h539 : romdata_int = 'h7951;
1355
    'h53a : romdata_int = 'h1440;
1356
    'h53b : romdata_int = 'h1e97;
1357
    'h53c : romdata_int = 'h1d31;
1358
    'h53d : romdata_int = 'h436c; // Line descriptor for 2_5
1359
    'h53e : romdata_int = 'h230b;
1360
    'h53f : romdata_int = 'h28ba;
1361
    'h540 : romdata_int = 'h395f;
1362
    'h541 : romdata_int = 'h8448;
1363
    'h542 : romdata_int = 'h36c; // Line descriptor for 2_5
1364
    'h543 : romdata_int = 'h8b6;
1365
    'h544 : romdata_int = 'h1233;
1366
    'h545 : romdata_int = 'h3470;
1367
    'h546 : romdata_int = 'h487d;
1368
    'h547 : romdata_int = 'h36c; // Line descriptor for 2_5
1369
    'h548 : romdata_int = 'hc75;
1370
    'h549 : romdata_int = 'h1465;
1371
    'h54a : romdata_int = 'h6e4d;
1372
    'h54b : romdata_int = 'h2efc;
1373
    'h54c : romdata_int = 'h36c; // Line descriptor for 2_5
1374
    'h54d : romdata_int = 'h72c;
1375
    'h54e : romdata_int = 'hd52;
1376
    'h54f : romdata_int = 'h1ed5;
1377
    'h550 : romdata_int = 'h6f06;
1378
    'h551 : romdata_int = 'h436c; // Line descriptor for 2_5
1379
    'h552 : romdata_int = 'h3d47;
1380
    'h553 : romdata_int = 'h4845;
1381
    'h554 : romdata_int = 'h67c;
1382
    'h555 : romdata_int = 'h1cc4;
1383
    'h556 : romdata_int = 'h36c; // Line descriptor for 2_5
1384
    'h557 : romdata_int = 'hb34;
1385
    'h558 : romdata_int = 'h1250;
1386
    'h559 : romdata_int = 'h32ee;
1387
    'h55a : romdata_int = 'h6cf3;
1388
    'h55b : romdata_int = 'h36c; // Line descriptor for 2_5
1389
    'h55c : romdata_int = 'h122;
1390
    'h55d : romdata_int = 'h2099;
1391
    'h55e : romdata_int = 'h2cc6;
1392
    'h55f : romdata_int = 'h8c12;
1393
    'h560 : romdata_int = 'h36c; // Line descriptor for 2_5
1394
    'h561 : romdata_int = 'h302;
1395
    'h562 : romdata_int = 'h1a73;
1396
    'h563 : romdata_int = 'h2898;
1397
    'h564 : romdata_int = 'h5b28;
1398
    'h565 : romdata_int = 'h436c; // Line descriptor for 2_5
1399
    'h566 : romdata_int = 'h40d;
1400
    'h567 : romdata_int = 'h147b;
1401
    'h568 : romdata_int = 'h1c0a;
1402
    'h569 : romdata_int = 'h791a;
1403
    'h56a : romdata_int = 'h36c; // Line descriptor for 2_5
1404
    'h56b : romdata_int = 'h2ef5;
1405
    'h56c : romdata_int = 'h3544;
1406
    'h56d : romdata_int = 'h3913;
1407
    'h56e : romdata_int = 'h570b;
1408
    'h56f : romdata_int = 'h36c; // Line descriptor for 2_5
1409
    'h570 : romdata_int = 'h62d;
1410
    'h571 : romdata_int = 'h2851;
1411
    'h572 : romdata_int = 'h2ac2;
1412
    'h573 : romdata_int = 'h7008;
1413
    'h574 : romdata_int = 'h36c; // Line descriptor for 2_5
1414
    'h575 : romdata_int = 'heee;
1415
    'h576 : romdata_int = 'h224a;
1416
    'h577 : romdata_int = 'h46a6;
1417
    'h578 : romdata_int = 'h7a68;
1418
    'h579 : romdata_int = 'h436c; // Line descriptor for 2_5
1419
    'h57a : romdata_int = 'h1cc9;
1420
    'h57b : romdata_int = 'h206b;
1421
    'h57c : romdata_int = 'h2326;
1422
    'h57d : romdata_int = 'h5144;
1423
    'h57e : romdata_int = 'h36c; // Line descriptor for 2_5
1424
    'h57f : romdata_int = 'h1eb1;
1425
    'h580 : romdata_int = 'h8f2;
1426
    'h581 : romdata_int = 'h3b3d;
1427
    'h582 : romdata_int = 'h4e29;
1428
    'h583 : romdata_int = 'h36c; // Line descriptor for 2_5
1429
    'h584 : romdata_int = 'h2b43;
1430
    'h585 : romdata_int = 'h2356;
1431
    'h586 : romdata_int = 'h5f4b;
1432
    'h587 : romdata_int = 'h1ea8;
1433
    'h588 : romdata_int = 'h36c; // Line descriptor for 2_5
1434
    'h589 : romdata_int = 'hb01;
1435
    'h58a : romdata_int = 'h1d5b;
1436
    'h58b : romdata_int = 'h291c;
1437
    'h58c : romdata_int = 'h5878;
1438
    'h58d : romdata_int = 'h436c; // Line descriptor for 2_5
1439
    'h58e : romdata_int = 'h1ac5;
1440
    'h58f : romdata_int = 'h2a1b;
1441
    'h590 : romdata_int = 'h2ae4;
1442
    'h591 : romdata_int = 'h6c39;
1443
    'h592 : romdata_int = 'h36c; // Line descriptor for 2_5
1444
    'h593 : romdata_int = 'h2d34;
1445
    'h594 : romdata_int = 'h279;
1446
    'h595 : romdata_int = 'he0a;
1447
    'h596 : romdata_int = 'h7d08;
1448
    'h597 : romdata_int = 'h36c; // Line descriptor for 2_5
1449
    'h598 : romdata_int = 'h728;
1450
    'h599 : romdata_int = 'h2cf2;
1451
    'h59a : romdata_int = 'h4525;
1452
    'h59b : romdata_int = 'h80d9;
1453
    'h59c : romdata_int = 'h36c; // Line descriptor for 2_5
1454
    'h59d : romdata_int = 'h2b9;
1455
    'h59e : romdata_int = 'ha48;
1456
    'h59f : romdata_int = 'h12a2;
1457
    'h5a0 : romdata_int = 'h7e52;
1458
    'h5a1 : romdata_int = 'h636c; // Line descriptor for 2_5
1459
    'h5a2 : romdata_int = 'h528;
1460
    'h5a3 : romdata_int = 'he32;
1461
    'h5a4 : romdata_int = 'h1efe;
1462
    'h5a5 : romdata_int = 'h5201;
1463
    'h5a6 : romdata_int = 'h45a; // Line descriptor for 1_2
1464
    'h5a7 : romdata_int = 'h352c;
1465
    'h5a8 : romdata_int = 'h3703;
1466
    'h5a9 : romdata_int = 'h3c43;
1467
    'h5aa : romdata_int = 'h4800;
1468
    'h5ab : romdata_int = 'h94b5;
1469
    'h5ac : romdata_int = 'h45a; // Line descriptor for 1_2
1470
    'h5ad : romdata_int = 'h1432;
1471
    'h5ae : romdata_int = 'h143d;
1472
    'h5af : romdata_int = 'h291e;
1473
    'h5b0 : romdata_int = 'h4a00;
1474
    'h5b1 : romdata_int = 'h529a;
1475
    'h5b2 : romdata_int = 'h45a; // Line descriptor for 1_2
1476
    'h5b3 : romdata_int = 'h81f;
1477
    'h5b4 : romdata_int = 'h200e;
1478
    'h5b5 : romdata_int = 'h373e;
1479
    'h5b6 : romdata_int = 'h4c00;
1480
    'h5b7 : romdata_int = 'h668a;
1481
    'h5b8 : romdata_int = 'h45a; // Line descriptor for 1_2
1482
    'h5b9 : romdata_int = 'h506;
1483
    'h5ba : romdata_int = 'hc45;
1484
    'h5bb : romdata_int = 'h210b;
1485
    'h5bc : romdata_int = 'h4e00;
1486
    'h5bd : romdata_int = 'h8960;
1487
    'h5be : romdata_int = 'h45a; // Line descriptor for 1_2
1488
    'h5bf : romdata_int = 'h133d;
1489
    'h5c0 : romdata_int = 'h30ef;
1490
    'h5c1 : romdata_int = 'h4224;
1491
    'h5c2 : romdata_int = 'h5000;
1492
    'h5c3 : romdata_int = 'ha516;
1493
    'h5c4 : romdata_int = 'h45a; // Line descriptor for 1_2
1494
    'h5c5 : romdata_int = 'h698;
1495
    'h5c6 : romdata_int = 'h1afe;
1496
    'h5c7 : romdata_int = 'h3088;
1497
    'h5c8 : romdata_int = 'h3cd1;
1498
    'h5c9 : romdata_int = 'h5200;
1499
    'h5ca : romdata_int = 'h45a; // Line descriptor for 1_2
1500
    'h5cb : romdata_int = 'h1d1e;
1501
    'h5cc : romdata_int = 'h2a67;
1502
    'h5cd : romdata_int = 'h40ec;
1503
    'h5ce : romdata_int = 'h5400;
1504
    'h5cf : romdata_int = 'h9952;
1505
    'h5d0 : romdata_int = 'h45a; // Line descriptor for 1_2
1506
    'h5d1 : romdata_int = 'h87e;
1507
    'h5d2 : romdata_int = 'h382c;
1508
    'h5d3 : romdata_int = 'h4509;
1509
    'h5d4 : romdata_int = 'h5600;
1510
    'h5d5 : romdata_int = 'hb2d6;
1511
    'h5d6 : romdata_int = 'h45a; // Line descriptor for 1_2
1512
    'h5d7 : romdata_int = 'h214c;
1513
    'h5d8 : romdata_int = 'h240f;
1514
    'h5d9 : romdata_int = 'h3d29;
1515
    'h5da : romdata_int = 'h5800;
1516
    'h5db : romdata_int = 'hae31;
1517
    'h5dc : romdata_int = 'h45a; // Line descriptor for 1_2
1518
    'h5dd : romdata_int = 'h2b55;
1519
    'h5de : romdata_int = 'h3155;
1520
    'h5df : romdata_int = 'h5a00;
1521
    'h5e0 : romdata_int = 'h7528;
1522
    'h5e1 : romdata_int = 'h9f61;
1523
    'h5e2 : romdata_int = 'h45a; // Line descriptor for 1_2
1524
    'h5e3 : romdata_int = 'h21c;
1525
    'h5e4 : romdata_int = 'h88c;
1526
    'h5e5 : romdata_int = 'hab0;
1527
    'h5e6 : romdata_int = 'h4a01;
1528
    'h5e7 : romdata_int = 'h5c00;
1529
    'h5e8 : romdata_int = 'h45a; // Line descriptor for 1_2
1530
    'h5e9 : romdata_int = 'h1115;
1531
    'h5ea : romdata_int = 'h26c8;
1532
    'h5eb : romdata_int = 'h2885;
1533
    'h5ec : romdata_int = 'h40aa;
1534
    'h5ed : romdata_int = 'h5e00;
1535
    'h5ee : romdata_int = 'h45a; // Line descriptor for 1_2
1536
    'h5ef : romdata_int = 'h1f4d;
1537
    'h5f0 : romdata_int = 'h344f;
1538
    'h5f1 : romdata_int = 'h42b5;
1539
    'h5f2 : romdata_int = 'h6000;
1540
    'h5f3 : romdata_int = 'h9cc5;
1541
    'h5f4 : romdata_int = 'h45a; // Line descriptor for 1_2
1542
    'h5f5 : romdata_int = 'h1064;
1543
    'h5f6 : romdata_int = 'h2091;
1544
    'h5f7 : romdata_int = 'h5d3b;
1545
    'h5f8 : romdata_int = 'h6200;
1546
    'h5f9 : romdata_int = 'h84e7;
1547
    'h5fa : romdata_int = 'h45a; // Line descriptor for 1_2
1548
    'h5fb : romdata_int = 'h1c;
1549
    'h5fc : romdata_int = 'h24e2;
1550
    'h5fd : romdata_int = 'h6400;
1551
    'h5fe : romdata_int = 'h7e64;
1552
    'h5ff : romdata_int = 'h8683;
1553
    'h600 : romdata_int = 'h45a; // Line descriptor for 1_2
1554
    'h601 : romdata_int = 'h1aa6;
1555
    'h602 : romdata_int = 'h2f4f;
1556
    'h603 : romdata_int = 'h3cb8;
1557
    'h604 : romdata_int = 'h6600;
1558
    'h605 : romdata_int = 'h7f2b;
1559
    'h606 : romdata_int = 'h45a; // Line descriptor for 1_2
1560
    'h607 : romdata_int = 'hc87;
1561
    'h608 : romdata_int = 'h16ef;
1562
    'h609 : romdata_int = 'h6800;
1563
    'h60a : romdata_int = 'h767c;
1564
    'h60b : romdata_int = 'h9255;
1565
    'h60c : romdata_int = 'h45a; // Line descriptor for 1_2
1566
    'h60d : romdata_int = 'h10ad;
1567
    'h60e : romdata_int = 'h3636;
1568
    'h60f : romdata_int = 'h6279;
1569
    'h610 : romdata_int = 'h6a00;
1570
    'h611 : romdata_int = 'h712e;
1571
    'h612 : romdata_int = 'h45a; // Line descriptor for 1_2
1572
    'h613 : romdata_int = 'h1c6e;
1573
    'h614 : romdata_int = 'h2e33;
1574
    'h615 : romdata_int = 'h3311;
1575
    'h616 : romdata_int = 'h6c00;
1576
    'h617 : romdata_int = 'ha2a1;
1577
    'h618 : romdata_int = 'h45a; // Line descriptor for 1_2
1578
    'h619 : romdata_int = 'h4c0;
1579
    'h61a : romdata_int = 'h1e8b;
1580
    'h61b : romdata_int = 'h38a0;
1581
    'h61c : romdata_int = 'h4c72;
1582
    'h61d : romdata_int = 'h6e00;
1583
    'h61e : romdata_int = 'h45a; // Line descriptor for 1_2
1584
    'h61f : romdata_int = 'h1632;
1585
    'h620 : romdata_int = 'h2622;
1586
    'h621 : romdata_int = 'h3f25;
1587
    'h622 : romdata_int = 'h7000;
1588
    'h623 : romdata_int = 'h945e;
1589
    'h624 : romdata_int = 'h45a; // Line descriptor for 1_2
1590
    'h625 : romdata_int = 'h132;
1591
    'h626 : romdata_int = 'h1017;
1592
    'h627 : romdata_int = 'h16bc;
1593
    'h628 : romdata_int = 'h7200;
1594
    'h629 : romdata_int = 'h8718;
1595
    'h62a : romdata_int = 'h45a; // Line descriptor for 1_2
1596
    'h62b : romdata_int = 'h2659;
1597
    'h62c : romdata_int = 'h3f32;
1598
    'h62d : romdata_int = 'h7400;
1599
    'h62e : romdata_int = 'h8f22;
1600
    'h62f : romdata_int = 'haa37;
1601
    'h630 : romdata_int = 'h45a; // Line descriptor for 1_2
1602
    'h631 : romdata_int = 'h6cf;
1603
    'h632 : romdata_int = 'h122c;
1604
    'h633 : romdata_int = 'h26aa;
1605
    'h634 : romdata_int = 'h5ab8;
1606
    'h635 : romdata_int = 'h7600;
1607
    'h636 : romdata_int = 'h45a; // Line descriptor for 1_2
1608
    'h637 : romdata_int = 'h823;
1609
    'h638 : romdata_int = 'h2249;
1610
    'h639 : romdata_int = 'h46cc;
1611
    'h63a : romdata_int = 'h6861;
1612
    'h63b : romdata_int = 'h7800;
1613
    'h63c : romdata_int = 'h45a; // Line descriptor for 1_2
1614
    'h63d : romdata_int = 'h2603;
1615
    'h63e : romdata_int = 'h3121;
1616
    'h63f : romdata_int = 'h36e4;
1617
    'h640 : romdata_int = 'h7a00;
1618
    'h641 : romdata_int = 'h9c4c;
1619
    'h642 : romdata_int = 'h45a; // Line descriptor for 1_2
1620
    'h643 : romdata_int = 'h521;
1621
    'h644 : romdata_int = 'h3a75;
1622
    'h645 : romdata_int = 'h6855;
1623
    'h646 : romdata_int = 'h7c00;
1624
    'h647 : romdata_int = 'ha6f3;
1625
    'h648 : romdata_int = 'h45a; // Line descriptor for 1_2
1626
    'h649 : romdata_int = 'he82;
1627
    'h64a : romdata_int = 'h1aa8;
1628
    'h64b : romdata_int = 'h4445;
1629
    'h64c : romdata_int = 'h7e00;
1630
    'h64d : romdata_int = 'h8413;
1631
    'h64e : romdata_int = 'h45a; // Line descriptor for 1_2
1632
    'h64f : romdata_int = 'h2511;
1633
    'h650 : romdata_int = 'h334c;
1634
    'h651 : romdata_int = 'h400a;
1635
    'h652 : romdata_int = 'h5a7b;
1636
    'h653 : romdata_int = 'h8000;
1637
    'h654 : romdata_int = 'h45a; // Line descriptor for 1_2
1638
    'h655 : romdata_int = 'haee;
1639
    'h656 : romdata_int = 'hcee;
1640
    'h657 : romdata_int = 'h1c4a;
1641
    'h658 : romdata_int = 'h468d;
1642
    'h659 : romdata_int = 'h8200;
1643
    'h65a : romdata_int = 'h45a; // Line descriptor for 1_2
1644
    'h65b : romdata_int = 'h287e;
1645
    'h65c : romdata_int = 'h2c3d;
1646
    'h65d : romdata_int = 'h5e9b;
1647
    'h65e : romdata_int = 'h8400;
1648
    'h65f : romdata_int = 'hac1e;
1649
    'h660 : romdata_int = 'h45a; // Line descriptor for 1_2
1650
    'h661 : romdata_int = 'h680;
1651
    'h662 : romdata_int = 'h946;
1652
    'h663 : romdata_int = 'h5cb9;
1653
    'h664 : romdata_int = 'h8600;
1654
    'h665 : romdata_int = 'ha0e9;
1655
    'h666 : romdata_int = 'h45a; // Line descriptor for 1_2
1656
    'h667 : romdata_int = 'hcca;
1657
    'h668 : romdata_int = 'h2349;
1658
    'h669 : romdata_int = 'h32bd;
1659
    'h66a : romdata_int = 'h80d5;
1660
    'h66b : romdata_int = 'h8800;
1661
    'h66c : romdata_int = 'h45a; // Line descriptor for 1_2
1662
    'h66d : romdata_int = 'h1e6f;
1663
    'h66e : romdata_int = 'h2057;
1664
    'h66f : romdata_int = 'h4725;
1665
    'h670 : romdata_int = 'h502e;
1666
    'h671 : romdata_int = 'h8a00;
1667
    'h672 : romdata_int = 'h45a; // Line descriptor for 1_2
1668
    'h673 : romdata_int = 'h162f;
1669
    'h674 : romdata_int = 'h1920;
1670
    'h675 : romdata_int = 'h8c00;
1671
    'h676 : romdata_int = 'h9aab;
1672
    'h677 : romdata_int = 'hb144;
1673
    'h678 : romdata_int = 'h45a; // Line descriptor for 1_2
1674
    'h679 : romdata_int = 'h1ce5;
1675
    'h67a : romdata_int = 'h2c98;
1676
    'h67b : romdata_int = 'h38ad;
1677
    'h67c : romdata_int = 'h4915;
1678
    'h67d : romdata_int = 'h8e00;
1679
    'h67e : romdata_int = 'h45a; // Line descriptor for 1_2
1680
    'h67f : romdata_int = 'ha23;
1681
    'h680 : romdata_int = 'h2ae5;
1682
    'h681 : romdata_int = 'h3315;
1683
    'h682 : romdata_int = 'h6728;
1684
    'h683 : romdata_int = 'h9000;
1685
    'h684 : romdata_int = 'h45a; // Line descriptor for 1_2
1686
    'h685 : romdata_int = 'h890;
1687
    'h686 : romdata_int = 'h151d;
1688
    'h687 : romdata_int = 'h3e45;
1689
    'h688 : romdata_int = 'h7ac4;
1690
    'h689 : romdata_int = 'h9200;
1691
    'h68a : romdata_int = 'h45a; // Line descriptor for 1_2
1692
    'h68b : romdata_int = 'he67;
1693
    'h68c : romdata_int = 'h14a4;
1694
    'h68d : romdata_int = 'h4a2b;
1695
    'h68e : romdata_int = 'h642a;
1696
    'h68f : romdata_int = 'h9400;
1697
    'h690 : romdata_int = 'h45a; // Line descriptor for 1_2
1698
    'h691 : romdata_int = 'h2865;
1699
    'h692 : romdata_int = 'h354c;
1700
    'h693 : romdata_int = 'h6165;
1701
    'h694 : romdata_int = 'h9600;
1702
    'h695 : romdata_int = 'h6e5f;
1703
    'h696 : romdata_int = 'h45a; // Line descriptor for 1_2
1704
    'h697 : romdata_int = 'h1f51;
1705
    'h698 : romdata_int = 'h2858;
1706
    'h699 : romdata_int = 'h8c88;
1707
    'h69a : romdata_int = 'h9670;
1708
    'h69b : romdata_int = 'h9800;
1709
    'h69c : romdata_int = 'h45a; // Line descriptor for 1_2
1710
    'h69d : romdata_int = 'h235d;
1711
    'h69e : romdata_int = 'h3f13;
1712
    'h69f : romdata_int = 'h72a7;
1713
    'h6a0 : romdata_int = 'h7443;
1714
    'h6a1 : romdata_int = 'h9a00;
1715
    'h6a2 : romdata_int = 'h45a; // Line descriptor for 1_2
1716
    'h6a3 : romdata_int = 'h2137;
1717
    'h6a4 : romdata_int = 'h28ee;
1718
    'h6a5 : romdata_int = 'h36f5;
1719
    'h6a6 : romdata_int = 'h9c00;
1720
    'h6a7 : romdata_int = 'hac49;
1721
    'h6a8 : romdata_int = 'h45a; // Line descriptor for 1_2
1722
    'h6a9 : romdata_int = 'h1c3c;
1723
    'h6aa : romdata_int = 'h2a32;
1724
    'h6ab : romdata_int = 'h7673;
1725
    'h6ac : romdata_int = 'h9e00;
1726
    'h6ad : romdata_int = 'hb2df;
1727
    'h6ae : romdata_int = 'h45a; // Line descriptor for 1_2
1728
    'h6af : romdata_int = 'h103c;
1729
    'h6b0 : romdata_int = 'h2694;
1730
    'h6b1 : romdata_int = 'h326b;
1731
    'h6b2 : romdata_int = 'h4e08;
1732
    'h6b3 : romdata_int = 'ha000;
1733
    'h6b4 : romdata_int = 'h45a; // Line descriptor for 1_2
1734
    'h6b5 : romdata_int = 'h233;
1735
    'h6b6 : romdata_int = 'ha44;
1736
    'h6b7 : romdata_int = 'h243a;
1737
    'h6b8 : romdata_int = 'h2cb1;
1738
    'h6b9 : romdata_int = 'ha200;
1739
    'h6ba : romdata_int = 'h45a; // Line descriptor for 1_2
1740
    'h6bb : romdata_int = 'he41;
1741
    'h6bc : romdata_int = 'h2b49;
1742
    'h6bd : romdata_int = 'h4219;
1743
    'h6be : romdata_int = 'h8e48;
1744
    'h6bf : romdata_int = 'ha400;
1745
    'h6c0 : romdata_int = 'h45a; // Line descriptor for 1_2
1746
    'h6c1 : romdata_int = 'h5f;
1747
    'h6c2 : romdata_int = 'h34a5;
1748
    'h6c3 : romdata_int = 'h64ef;
1749
    'h6c4 : romdata_int = 'h8354;
1750
    'h6c5 : romdata_int = 'ha600;
1751
    'h6c6 : romdata_int = 'h45a; // Line descriptor for 1_2
1752
    'h6c7 : romdata_int = 'h67;
1753
    'h6c8 : romdata_int = 'h14b3;
1754
    'h6c9 : romdata_int = 'h1ccc;
1755
    'h6ca : romdata_int = 'h46d3;
1756
    'h6cb : romdata_int = 'ha800;
1757
    'h6cc : romdata_int = 'h45a; // Line descriptor for 1_2
1758
    'h6cd : romdata_int = 'h71;
1759
    'h6ce : romdata_int = 'haba;
1760
    'h6cf : romdata_int = 'h3a13;
1761
    'h6d0 : romdata_int = 'h4328;
1762
    'h6d1 : romdata_int = 'haa00;
1763
    'h6d2 : romdata_int = 'h45a; // Line descriptor for 1_2
1764
    'h6d3 : romdata_int = 'h338;
1765
    'h6d4 : romdata_int = 'h16f8;
1766
    'h6d5 : romdata_int = 'h1874;
1767
    'h6d6 : romdata_int = 'h7894;
1768
    'h6d7 : romdata_int = 'hac00;
1769
    'h6d8 : romdata_int = 'h45a; // Line descriptor for 1_2
1770
    'h6d9 : romdata_int = 'h228;
1771
    'h6da : romdata_int = 'h640;
1772
    'h6db : romdata_int = 'h2af3;
1773
    'h6dc : romdata_int = 'hae00;
1774
    'h6dd : romdata_int = 'haae5;
1775
    'h6de : romdata_int = 'h45a; // Line descriptor for 1_2
1776
    'h6df : romdata_int = 'haeb;
1777
    'h6e0 : romdata_int = 'h235e;
1778
    'h6e1 : romdata_int = 'h932b;
1779
    'h6e2 : romdata_int = 'hae8d;
1780
    'h6e3 : romdata_int = 'hb000;
1781
    'h6e4 : romdata_int = 'h45a; // Line descriptor for 1_2
1782
    'h6e5 : romdata_int = 'h14f6;
1783
    'h6e6 : romdata_int = 'h2e91;
1784
    'h6e7 : romdata_int = 'h5089;
1785
    'h6e8 : romdata_int = 'h88c5;
1786
    'h6e9 : romdata_int = 'hb200;
1787
    'h6ea : romdata_int = 'h45a; // Line descriptor for 1_2
1788
    'h6eb : romdata_int = 'h0;
1789
    'h6ec : romdata_int = 'h722;
1790
    'h6ed : romdata_int = 'hc5c;
1791
    'h6ee : romdata_int = 'h1106;
1792
    'h6ef : romdata_int = 'ha632;
1793
    'h6f0 : romdata_int = 'h45a; // Line descriptor for 1_2
1794
    'h6f1 : romdata_int = 'h200;
1795
    'h6f2 : romdata_int = 'h335b;
1796
    'h6f3 : romdata_int = 'h3c05;
1797
    'h6f4 : romdata_int = 'h6aa5;
1798
    'h6f5 : romdata_int = 'hb006;
1799
    'h6f6 : romdata_int = 'h45a; // Line descriptor for 1_2
1800
    'h6f7 : romdata_int = 'h400;
1801
    'h6f8 : romdata_int = 'hd58;
1802
    'h6f9 : romdata_int = 'h38b0;
1803
    'h6fa : romdata_int = 'h3c20;
1804
    'h6fb : romdata_int = 'h540b;
1805
    'h6fc : romdata_int = 'h45a; // Line descriptor for 1_2
1806
    'h6fd : romdata_int = 'h600;
1807
    'h6fe : romdata_int = 'h6ab;
1808
    'h6ff : romdata_int = 'h186a;
1809
    'h700 : romdata_int = 'h3534;
1810
    'h701 : romdata_int = 'h786a;
1811
    'h702 : romdata_int = 'h45a; // Line descriptor for 1_2
1812
    'h703 : romdata_int = 'h800;
1813
    'h704 : romdata_int = 'h93f;
1814
    'h705 : romdata_int = 'h3a38;
1815
    'h706 : romdata_int = 'h2ecf;
1816
    'h707 : romdata_int = 'h4717;
1817
    'h708 : romdata_int = 'h45a; // Line descriptor for 1_2
1818
    'h709 : romdata_int = 'ha00;
1819
    'h70a : romdata_int = 'h2269;
1820
    'h70b : romdata_int = 'h3a70;
1821
    'h70c : romdata_int = 'h5859;
1822
    'h70d : romdata_int = 'h6cae;
1823
    'h70e : romdata_int = 'h45a; // Line descriptor for 1_2
1824
    'h70f : romdata_int = 'hc00;
1825
    'h710 : romdata_int = 'h1967;
1826
    'h711 : romdata_int = 'h2b37;
1827
    'h712 : romdata_int = 'h4c02;
1828
    'h713 : romdata_int = 'h732c;
1829
    'h714 : romdata_int = 'h45a; // Line descriptor for 1_2
1830
    'h715 : romdata_int = 'he00;
1831
    'h716 : romdata_int = 'h1956;
1832
    'h717 : romdata_int = 'h3edf;
1833
    'h718 : romdata_int = 'h7a4e;
1834
    'h719 : romdata_int = 'h8d27;
1835
    'h71a : romdata_int = 'h45a; // Line descriptor for 1_2
1836
    'h71b : romdata_int = 'h1000;
1837
    'h71c : romdata_int = 'h181e;
1838
    'h71d : romdata_int = 'h1844;
1839
    'h71e : romdata_int = 'h4662;
1840
    'h71f : romdata_int = 'h82c9;
1841
    'h720 : romdata_int = 'h45a; // Line descriptor for 1_2
1842
    'h721 : romdata_int = 'h221;
1843
    'h722 : romdata_int = 'h250;
1844
    'h723 : romdata_int = 'h1200;
1845
    'h724 : romdata_int = 'h3b44;
1846
    'h725 : romdata_int = 'h4484;
1847
    'h726 : romdata_int = 'h45a; // Line descriptor for 1_2
1848
    'h727 : romdata_int = 'h6b4;
1849
    'h728 : romdata_int = 'h1400;
1850
    'h729 : romdata_int = 'h2447;
1851
    'h72a : romdata_int = 'h2f44;
1852
    'h72b : romdata_int = 'h42e1;
1853
    'h72c : romdata_int = 'h45a; // Line descriptor for 1_2
1854
    'h72d : romdata_int = 'h1600;
1855
    'h72e : romdata_int = 'h1ad5;
1856
    'h72f : romdata_int = 'h2267;
1857
    'h730 : romdata_int = 'h5f12;
1858
    'h731 : romdata_int = 'h9122;
1859
    'h732 : romdata_int = 'h45a; // Line descriptor for 1_2
1860
    'h733 : romdata_int = 'hefd;
1861
    'h734 : romdata_int = 'h1800;
1862
    'h735 : romdata_int = 'h1e34;
1863
    'h736 : romdata_int = 'h315f;
1864
    'h737 : romdata_int = 'ha24d;
1865
    'h738 : romdata_int = 'h45a; // Line descriptor for 1_2
1866
    'h739 : romdata_int = 'h12f6;
1867
    'h73a : romdata_int = 'h1a00;
1868
    'h73b : romdata_int = 'h3d0f;
1869
    'h73c : romdata_int = 'h44e8;
1870
    'h73d : romdata_int = 'h621e;
1871
    'h73e : romdata_int = 'h45a; // Line descriptor for 1_2
1872
    'h73f : romdata_int = 'hcc3;
1873
    'h740 : romdata_int = 'h1c00;
1874
    'h741 : romdata_int = 'h3ef7;
1875
    'h742 : romdata_int = 'h40ce;
1876
    'h743 : romdata_int = 'h563a;
1877
    'h744 : romdata_int = 'h45a; // Line descriptor for 1_2
1878
    'h745 : romdata_int = 'h1e00;
1879
    'h746 : romdata_int = 'h2d59;
1880
    'h747 : romdata_int = 'h347e;
1881
    'h748 : romdata_int = 'h9e8a;
1882
    'h749 : romdata_int = 'ha858;
1883
    'h74a : romdata_int = 'h45a; // Line descriptor for 1_2
1884
    'h74b : romdata_int = 'h308;
1885
    'h74c : romdata_int = 'h1af5;
1886
    'h74d : romdata_int = 'h2000;
1887
    'h74e : romdata_int = 'h2810;
1888
    'h74f : romdata_int = 'h4089;
1889
    'h750 : romdata_int = 'h45a; // Line descriptor for 1_2
1890
    'h751 : romdata_int = 'h512;
1891
    'h752 : romdata_int = 'h2200;
1892
    'h753 : romdata_int = 'h22a4;
1893
    'h754 : romdata_int = 'h311c;
1894
    'h755 : romdata_int = 'h383e;
1895
    'h756 : romdata_int = 'h45a; // Line descriptor for 1_2
1896
    'h757 : romdata_int = 'h2400;
1897
    'h758 : romdata_int = 'h408;
1898
    'h759 : romdata_int = 'h44ad;
1899
    'h75a : romdata_int = 'h4f0b;
1900
    'h75b : romdata_int = 'h6ae0;
1901
    'h75c : romdata_int = 'h45a; // Line descriptor for 1_2
1902
    'h75d : romdata_int = 'h24b8;
1903
    'h75e : romdata_int = 'h2600;
1904
    'h75f : romdata_int = 'h374a;
1905
    'h760 : romdata_int = 'h464e;
1906
    'h761 : romdata_int = 'h7cd8;
1907
    'h762 : romdata_int = 'h45a; // Line descriptor for 1_2
1908
    'h763 : romdata_int = 'h2d1c;
1909
    'h764 : romdata_int = 'h1331;
1910
    'h765 : romdata_int = 'h12bb;
1911
    'h766 : romdata_int = 'h2800;
1912
    'h767 : romdata_int = 'h9682;
1913
    'h768 : romdata_int = 'h45a; // Line descriptor for 1_2
1914
    'h769 : romdata_int = 'h2a00;
1915
    'h76a : romdata_int = 'h2cd9;
1916
    'h76b : romdata_int = 'h2f60;
1917
    'h76c : romdata_int = 'h8a67;
1918
    'h76d : romdata_int = 'h9a0e;
1919
    'h76e : romdata_int = 'h45a; // Line descriptor for 1_2
1920
    'h76f : romdata_int = 'h1ccb;
1921
    'h770 : romdata_int = 'h2c00;
1922
    'h771 : romdata_int = 'h34f2;
1923
    'h772 : romdata_int = 'h3b21;
1924
    'h773 : romdata_int = 'h6d11;
1925
    'h774 : romdata_int = 'h45a; // Line descriptor for 1_2
1926
    'h775 : romdata_int = 'he7d;
1927
    'h776 : romdata_int = 'h2e00;
1928
    'h777 : romdata_int = 'h3100;
1929
    'h778 : romdata_int = 'h38fc;
1930
    'h779 : romdata_int = 'h48a1;
1931
    'h77a : romdata_int = 'h45a; // Line descriptor for 1_2
1932
    'h77b : romdata_int = 'h1a97;
1933
    'h77c : romdata_int = 'h1f13;
1934
    'h77d : romdata_int = 'h3000;
1935
    'h77e : romdata_int = 'h52b0;
1936
    'h77f : romdata_int = 'h5938;
1937
    'h780 : romdata_int = 'h45a; // Line descriptor for 1_2
1938
    'h781 : romdata_int = 'h465;
1939
    'h782 : romdata_int = 'h2cf3;
1940
    'h783 : romdata_int = 'h3200;
1941
    'h784 : romdata_int = 'h60c7;
1942
    'h785 : romdata_int = 'ha40a;
1943
    'h786 : romdata_int = 'h45a; // Line descriptor for 1_2
1944
    'h787 : romdata_int = 'h43f;
1945
    'h788 : romdata_int = 'h1b4b;
1946
    'h789 : romdata_int = 'h3400;
1947
    'h78a : romdata_int = 'h8062;
1948
    'h78b : romdata_int = 'ha164;
1949
    'h78c : romdata_int = 'h45a; // Line descriptor for 1_2
1950
    'h78d : romdata_int = 'h20f3;
1951
    'h78e : romdata_int = 'h3600;
1952
    'h78f : romdata_int = 'h44fd;
1953
    'h790 : romdata_int = 'h907c;
1954
    'h791 : romdata_int = 'h994d;
1955
    'h792 : romdata_int = 'h45a; // Line descriptor for 1_2
1956
    'h793 : romdata_int = 'h9f;
1957
    'h794 : romdata_int = 'h131f;
1958
    'h795 : romdata_int = 'h3800;
1959
    'h796 : romdata_int = 'h44a7;
1960
    'h797 : romdata_int = 'h6f62;
1961
    'h798 : romdata_int = 'h45a; // Line descriptor for 1_2
1962
    'h799 : romdata_int = 'he9d;
1963
    'h79a : romdata_int = 'h3602;
1964
    'h79b : romdata_int = 'h3a00;
1965
    'h79c : romdata_int = 'h42d9;
1966
    'h79d : romdata_int = 'h7c0f;
1967
    'h79e : romdata_int = 'h45a; // Line descriptor for 1_2
1968
    'h79f : romdata_int = 'h16bd;
1969
    'h7a0 : romdata_int = 'h2406;
1970
    'h7a1 : romdata_int = 'h38f2;
1971
    'h7a2 : romdata_int = 'h3c00;
1972
    'h7a3 : romdata_int = 'h8b49;
1973
    'h7a4 : romdata_int = 'h45a; // Line descriptor for 1_2
1974
    'h7a5 : romdata_int = 'h12ed;
1975
    'h7a6 : romdata_int = 'h14a2;
1976
    'h7a7 : romdata_int = 'h3e00;
1977
    'h7a8 : romdata_int = 'h3b4e;
1978
    'h7a9 : romdata_int = 'h4242;
1979
    'h7aa : romdata_int = 'h45a; // Line descriptor for 1_2
1980
    'h7ab : romdata_int = 'h114c;
1981
    'h7ac : romdata_int = 'h2e76;
1982
    'h7ad : romdata_int = 'h3f50;
1983
    'h7ae : romdata_int = 'h4000;
1984
    'h7af : romdata_int = 'h4144;
1985
    'h7b0 : romdata_int = 'h45a; // Line descriptor for 1_2
1986
    'h7b1 : romdata_int = 'h1703;
1987
    'h7b2 : romdata_int = 'h3361;
1988
    'h7b3 : romdata_int = 'h4200;
1989
    'h7b4 : romdata_int = 'h54ec;
1990
    'h7b5 : romdata_int = 'ha8f1;
1991
    'h7b6 : romdata_int = 'h45a; // Line descriptor for 1_2
1992
    'h7b7 : romdata_int = 'hab1;
1993
    'h7b8 : romdata_int = 'he07;
1994
    'h7b9 : romdata_int = 'h1e99;
1995
    'h7ba : romdata_int = 'h4400;
1996
    'h7bb : romdata_int = 'h70dd;
1997
    'h7bc : romdata_int = 'h645a; // Line descriptor for 1_2
1998
    'h7bd : romdata_int = 'h12a;
1999
    'h7be : romdata_int = 'h26d8;
2000
    'h7bf : romdata_int = 'h4166;
2001
    'h7c0 : romdata_int = 'h4600;
2002
    'h7c1 : romdata_int = 'h56a1;
2003
    'h7c2 : romdata_int = 'h848; // Line descriptor for 3_5
2004
    'h7c3 : romdata_int = 'hed;
2005
    'h7c4 : romdata_int = 'h1ec8;
2006
    'h7c5 : romdata_int = 'h2d39;
2007
    'h7c6 : romdata_int = 'h3086;
2008
    'h7c7 : romdata_int = 'h4159;
2009
    'h7c8 : romdata_int = 'h4272;
2010
    'h7c9 : romdata_int = 'h4800;
2011
    'h7ca : romdata_int = 'h50d1;
2012
    'h7cb : romdata_int = 'hcacb;
2013
    'h7cc : romdata_int = 'h4848; // Line descriptor for 3_5
2014
    'h7cd : romdata_int = 'h9b;
2015
    'h7ce : romdata_int = 'he8a;
2016
    'h7cf : romdata_int = 'h121a;
2017
    'h7d0 : romdata_int = 'h1706;
2018
    'h7d1 : romdata_int = 'h3043;
2019
    'h7d2 : romdata_int = 'h4286;
2020
    'h7d3 : romdata_int = 'h4a00;
2021
    'h7d4 : romdata_int = 'h584a;
2022
    'h7d5 : romdata_int = 'hc35a;
2023
    'h7d6 : romdata_int = 'h848; // Line descriptor for 3_5
2024
    'h7d7 : romdata_int = 'h565;
2025
    'h7d8 : romdata_int = 'hd01;
2026
    'h7d9 : romdata_int = 'h10b2;
2027
    'h7da : romdata_int = 'h1c34;
2028
    'h7db : romdata_int = 'h429c;
2029
    'h7dc : romdata_int = 'h4423;
2030
    'h7dd : romdata_int = 'h4c00;
2031
    'h7de : romdata_int = 'h805e;
2032
    'h7df : romdata_int = 'hb953;
2033
    'h7e0 : romdata_int = 'h4848; // Line descriptor for 3_5
2034
    'h7e1 : romdata_int = 'h1947;
2035
    'h7e2 : romdata_int = 'h22ba;
2036
    'h7e3 : romdata_int = 'h22d9;
2037
    'h7e4 : romdata_int = 'h2c91;
2038
    'h7e5 : romdata_int = 'h3503;
2039
    'h7e6 : romdata_int = 'h36f3;
2040
    'h7e7 : romdata_int = 'h4e00;
2041
    'h7e8 : romdata_int = 'h7367;
2042
    'h7e9 : romdata_int = 'hd0d3;
2043
    'h7ea : romdata_int = 'h848; // Line descriptor for 3_5
2044
    'h7eb : romdata_int = 'hf61;
2045
    'h7ec : romdata_int = 'h167d;
2046
    'h7ed : romdata_int = 'h1c8b;
2047
    'h7ee : romdata_int = 'h2432;
2048
    'h7ef : romdata_int = 'h2479;
2049
    'h7f0 : romdata_int = 'h3222;
2050
    'h7f1 : romdata_int = 'h5000;
2051
    'h7f2 : romdata_int = 'h6e17;
2052
    'h7f3 : romdata_int = 'hc166;
2053
    'h7f4 : romdata_int = 'h4848; // Line descriptor for 3_5
2054
    'h7f5 : romdata_int = 'h2cd1;
2055
    'h7f6 : romdata_int = 'h30d2;
2056
    'h7f7 : romdata_int = 'h32a0;
2057
    'h7f8 : romdata_int = 'h380b;
2058
    'h7f9 : romdata_int = 'h3a35;
2059
    'h7fa : romdata_int = 'h3e78;
2060
    'h7fb : romdata_int = 'h5200;
2061
    'h7fc : romdata_int = 'h60c9;
2062
    'h7fd : romdata_int = 'hbc89;
2063
    'h7fe : romdata_int = 'h848; // Line descriptor for 3_5
2064
    'h7ff : romdata_int = 'hc55;
2065
    'h800 : romdata_int = 'h14b4;
2066
    'h801 : romdata_int = 'h1864;
2067
    'h802 : romdata_int = 'h2360;
2068
    'h803 : romdata_int = 'h28f5;
2069
    'h804 : romdata_int = 'h331d;
2070
    'h805 : romdata_int = 'h5400;
2071
    'h806 : romdata_int = 'h64b8;
2072
    'h807 : romdata_int = 'hc555;
2073
    'h808 : romdata_int = 'h4848; // Line descriptor for 3_5
2074
    'h809 : romdata_int = 'h143e;
2075
    'h80a : romdata_int = 'h24e4;
2076
    'h80b : romdata_int = 'h32b8;
2077
    'h80c : romdata_int = 'h372a;
2078
    'h80d : romdata_int = 'h3c56;
2079
    'h80e : romdata_int = 'h3e2c;
2080
    'h80f : romdata_int = 'h5600;
2081
    'h810 : romdata_int = 'h5b03;
2082
    'h811 : romdata_int = 'hc31f;
2083
    'h812 : romdata_int = 'h848; // Line descriptor for 3_5
2084
    'h813 : romdata_int = 'h762;
2085
    'h814 : romdata_int = 'h1062;
2086
    'h815 : romdata_int = 'h1058;
2087
    'h816 : romdata_int = 'h1079;
2088
    'h817 : romdata_int = 'h2c38;
2089
    'h818 : romdata_int = 'h46f6;
2090
    'h819 : romdata_int = 'h4c82;
2091
    'h81a : romdata_int = 'h5800;
2092
    'h81b : romdata_int = 'h9f65;
2093
    'h81c : romdata_int = 'h4848; // Line descriptor for 3_5
2094
    'h81d : romdata_int = 'h4e;
2095
    'h81e : romdata_int = 'he75;
2096
    'h81f : romdata_int = 'h169d;
2097
    'h820 : romdata_int = 'h2c42;
2098
    'h821 : romdata_int = 'h3d49;
2099
    'h822 : romdata_int = 'h3ea9;
2100
    'h823 : romdata_int = 'h5a00;
2101
    'h824 : romdata_int = 'h8640;
2102
    'h825 : romdata_int = 'h9b56;
2103
    'h826 : romdata_int = 'h848; // Line descriptor for 3_5
2104
    'h827 : romdata_int = 'h23a;
2105
    'h828 : romdata_int = 'h1a3d;
2106
    'h829 : romdata_int = 'h2251;
2107
    'h82a : romdata_int = 'h2ebb;
2108
    'h82b : romdata_int = 'h30ea;
2109
    'h82c : romdata_int = 'h3c83;
2110
    'h82d : romdata_int = 'h5c00;
2111
    'h82e : romdata_int = 'h670b;
2112
    'h82f : romdata_int = 'hce0d;
2113
    'h830 : romdata_int = 'h4848; // Line descriptor for 3_5
2114
    'h831 : romdata_int = 'h86a;
2115
    'h832 : romdata_int = 'h132c;
2116
    'h833 : romdata_int = 'h165c;
2117
    'h834 : romdata_int = 'h1688;
2118
    'h835 : romdata_int = 'h1f50;
2119
    'h836 : romdata_int = 'h20f3;
2120
    'h837 : romdata_int = 'h5e00;
2121
    'h838 : romdata_int = 'h703d;
2122
    'h839 : romdata_int = 'ha520;
2123
    'h83a : romdata_int = 'h848; // Line descriptor for 3_5
2124
    'h83b : romdata_int = 'ha4a;
2125
    'h83c : romdata_int = 'h16ca;
2126
    'h83d : romdata_int = 'h1aa2;
2127
    'h83e : romdata_int = 'h3318;
2128
    'h83f : romdata_int = 'h435a;
2129
    'h840 : romdata_int = 'h4667;
2130
    'h841 : romdata_int = 'h5300;
2131
    'h842 : romdata_int = 'h6000;
2132
    'h843 : romdata_int = 'hbc72;
2133
    'h844 : romdata_int = 'h4848; // Line descriptor for 3_5
2134
    'h845 : romdata_int = 'h65f;
2135
    'h846 : romdata_int = 'h6dd;
2136
    'h847 : romdata_int = 'he33;
2137
    'h848 : romdata_int = 'h1f3f;
2138
    'h849 : romdata_int = 'h3e28;
2139
    'h84a : romdata_int = 'h44a3;
2140
    'h84b : romdata_int = 'h4903;
2141
    'h84c : romdata_int = 'h6200;
2142
    'h84d : romdata_int = 'ha20e;
2143
    'h84e : romdata_int = 'h848; // Line descriptor for 3_5
2144
    'h84f : romdata_int = 'he41;
2145
    'h850 : romdata_int = 'h1b1d;
2146
    'h851 : romdata_int = 'h1e6f;
2147
    'h852 : romdata_int = 'h234c;
2148
    'h853 : romdata_int = 'h393e;
2149
    'h854 : romdata_int = 'h3b60;
2150
    'h855 : romdata_int = 'h6400;
2151
    'h856 : romdata_int = 'h8a1c;
2152
    'h857 : romdata_int = 'hb260;
2153
    'h858 : romdata_int = 'h4848; // Line descriptor for 3_5
2154
    'h859 : romdata_int = 'h8c9;
2155
    'h85a : romdata_int = 'h1e69;
2156
    'h85b : romdata_int = 'h2f32;
2157
    'h85c : romdata_int = 'h36e2;
2158
    'h85d : romdata_int = 'h3e8f;
2159
    'h85e : romdata_int = 'h4075;
2160
    'h85f : romdata_int = 'h6600;
2161
    'h860 : romdata_int = 'h78df;
2162
    'h861 : romdata_int = 'ha0a7;
2163
    'h862 : romdata_int = 'h848; // Line descriptor for 3_5
2164
    'h863 : romdata_int = 'h1341;
2165
    'h864 : romdata_int = 'h1af6;
2166
    'h865 : romdata_int = 'h1e47;
2167
    'h866 : romdata_int = 'h2700;
2168
    'h867 : romdata_int = 'h3706;
2169
    'h868 : romdata_int = 'h3a46;
2170
    'h869 : romdata_int = 'h5356;
2171
    'h86a : romdata_int = 'h6800;
2172
    'h86b : romdata_int = 'hcc33;
2173
    'h86c : romdata_int = 'h4848; // Line descriptor for 3_5
2174
    'h86d : romdata_int = 'h41e;
2175
    'h86e : romdata_int = 'hb60;
2176
    'h86f : romdata_int = 'h2e05;
2177
    'h870 : romdata_int = 'h34cc;
2178
    'h871 : romdata_int = 'h3b3f;
2179
    'h872 : romdata_int = 'h4658;
2180
    'h873 : romdata_int = 'h6a00;
2181
    'h874 : romdata_int = 'h792c;
2182
    'h875 : romdata_int = 'hb456;
2183
    'h876 : romdata_int = 'h848; // Line descriptor for 3_5
2184
    'h877 : romdata_int = 'h2e1;
2185
    'h878 : romdata_int = 'h8f2;
2186
    'h879 : romdata_int = 'h1c28;
2187
    'h87a : romdata_int = 'h1c81;
2188
    'h87b : romdata_int = 'h2854;
2189
    'h87c : romdata_int = 'h2f50;
2190
    'h87d : romdata_int = 'h6c00;
2191
    'h87e : romdata_int = 'h753c;
2192
    'h87f : romdata_int = 'hc6ac;
2193
    'h880 : romdata_int = 'h4848; // Line descriptor for 3_5
2194
    'h881 : romdata_int = 'h4bc;
2195
    'h882 : romdata_int = 'ha4e;
2196
    'h883 : romdata_int = 'hf64;
2197
    'h884 : romdata_int = 'h1268;
2198
    'h885 : romdata_int = 'h3629;
2199
    'h886 : romdata_int = 'h3e33;
2200
    'h887 : romdata_int = 'h6e00;
2201
    'h888 : romdata_int = 'h76c4;
2202
    'h889 : romdata_int = 'hb6c1;
2203
    'h88a : romdata_int = 'h848; // Line descriptor for 3_5
2204
    'h88b : romdata_int = 'h479;
2205
    'h88c : romdata_int = 'h1522;
2206
    'h88d : romdata_int = 'h1733;
2207
    'h88e : romdata_int = 'h267a;
2208
    'h88f : romdata_int = 'h2ea6;
2209
    'h890 : romdata_int = 'h4130;
2210
    'h891 : romdata_int = 'h7000;
2211
    'h892 : romdata_int = 'h7e70;
2212
    'h893 : romdata_int = 'hb8b7;
2213
    'h894 : romdata_int = 'h4848; // Line descriptor for 3_5
2214
    'h895 : romdata_int = 'h50b;
2215
    'h896 : romdata_int = 'h6e6;
2216
    'h897 : romdata_int = 'h950;
2217
    'h898 : romdata_int = 'hc4b;
2218
    'h899 : romdata_int = 'h1eb5;
2219
    'h89a : romdata_int = 'h24e3;
2220
    'h89b : romdata_int = 'h7200;
2221
    'h89c : romdata_int = 'h7c08;
2222
    'h89d : romdata_int = 'h9647;
2223
    'h89e : romdata_int = 'h848; // Line descriptor for 3_5
2224
    'h89f : romdata_int = 'h72e;
2225
    'h8a0 : romdata_int = 'h223a;
2226
    'h8a1 : romdata_int = 'h2ae6;
2227
    'h8a2 : romdata_int = 'h348d;
2228
    'h8a3 : romdata_int = 'h34d3;
2229
    'h8a4 : romdata_int = 'h3b08;
2230
    'h8a5 : romdata_int = 'h620a;
2231
    'h8a6 : romdata_int = 'h7400;
2232
    'h8a7 : romdata_int = 'hccec;
2233
    'h8a8 : romdata_int = 'h4848; // Line descriptor for 3_5
2234
    'h8a9 : romdata_int = 'h2ec;
2235
    'h8aa : romdata_int = 'h131d;
2236
    'h8ab : romdata_int = 'h2721;
2237
    'h8ac : romdata_int = 'h364d;
2238
    'h8ad : romdata_int = 'h3639;
2239
    'h8ae : romdata_int = 'h366e;
2240
    'h8af : romdata_int = 'h550a;
2241
    'h8b0 : romdata_int = 'h7600;
2242
    'h8b1 : romdata_int = 'h9f30;
2243
    'h8b2 : romdata_int = 'h848; // Line descriptor for 3_5
2244
    'h8b3 : romdata_int = 'h364;
2245
    'h8b4 : romdata_int = 'hc7c;
2246
    'h8b5 : romdata_int = 'h283e;
2247
    'h8b6 : romdata_int = 'h2b52;
2248
    'h8b7 : romdata_int = 'h3d32;
2249
    'h8b8 : romdata_int = 'h4622;
2250
    'h8b9 : romdata_int = 'h7800;
2251
    'h8ba : romdata_int = 'h7d15;
2252
    'h8bb : romdata_int = 'hac5a;
2253
    'h8bc : romdata_int = 'h4848; // Line descriptor for 3_5
2254
    'h8bd : romdata_int = 'h2f0;
2255
    'h8be : romdata_int = 'h316;
2256
    'h8bf : romdata_int = 'h16b0;
2257
    'h8c0 : romdata_int = 'h22cf;
2258
    'h8c1 : romdata_int = 'h3231;
2259
    'h8c2 : romdata_int = 'h411c;
2260
    'h8c3 : romdata_int = 'h66b8;
2261
    'h8c4 : romdata_int = 'h7a00;
2262
    'h8c5 : romdata_int = 'h993b;
2263
    'h8c6 : romdata_int = 'h848; // Line descriptor for 3_5
2264
    'h8c7 : romdata_int = 'h2b;
2265
    'h8c8 : romdata_int = 'h1d13;
2266
    'h8c9 : romdata_int = 'h2030;
2267
    'h8ca : romdata_int = 'h22d4;
2268
    'h8cb : romdata_int = 'h391c;
2269
    'h8cc : romdata_int = 'h4615;
2270
    'h8cd : romdata_int = 'h6925;
2271
    'h8ce : romdata_int = 'h7c00;
2272
    'h8cf : romdata_int = 'h9860;
2273
    'h8d0 : romdata_int = 'h4848; // Line descriptor for 3_5
2274
    'h8d1 : romdata_int = 'h1;
2275
    'h8d2 : romdata_int = 'hcb5;
2276
    'h8d3 : romdata_int = 'h14cf;
2277
    'h8d4 : romdata_int = 'h20b5;
2278
    'h8d5 : romdata_int = 'h4033;
2279
    'h8d6 : romdata_int = 'h4632;
2280
    'h8d7 : romdata_int = 'h5698;
2281
    'h8d8 : romdata_int = 'h7e00;
2282
    'h8d9 : romdata_int = 'ha6d3;
2283
    'h8da : romdata_int = 'h848; // Line descriptor for 3_5
2284
    'h8db : romdata_int = 'h44c;
2285
    'h8dc : romdata_int = 'h1440;
2286
    'h8dd : romdata_int = 'h14ab;
2287
    'h8de : romdata_int = 'h2485;
2288
    'h8df : romdata_int = 'h3d3a;
2289
    'h8e0 : romdata_int = 'h40fd;
2290
    'h8e1 : romdata_int = 'h5e65;
2291
    'h8e2 : romdata_int = 'h8000;
2292
    'h8e3 : romdata_int = 'h915b;
2293
    'h8e4 : romdata_int = 'h4848; // Line descriptor for 3_5
2294
    'h8e5 : romdata_int = 'h53d;
2295
    'h8e6 : romdata_int = 'hb18;
2296
    'h8e7 : romdata_int = 'h14e4;
2297
    'h8e8 : romdata_int = 'h1737;
2298
    'h8e9 : romdata_int = 'h365e;
2299
    'h8ea : romdata_int = 'h3ce4;
2300
    'h8eb : romdata_int = 'h7695;
2301
    'h8ec : romdata_int = 'h8200;
2302
    'h8ed : romdata_int = 'h9726;
2303
    'h8ee : romdata_int = 'h848; // Line descriptor for 3_5
2304
    'h8ef : romdata_int = 'h137;
2305
    'h8f0 : romdata_int = 'h1049;
2306
    'h8f1 : romdata_int = 'h10f1;
2307
    'h8f2 : romdata_int = 'h1acb;
2308
    'h8f3 : romdata_int = 'h2d61;
2309
    'h8f4 : romdata_int = 'h3b49;
2310
    'h8f5 : romdata_int = 'h6b3c;
2311
    'h8f6 : romdata_int = 'h8400;
2312
    'h8f7 : romdata_int = 'hc633;
2313
    'h8f8 : romdata_int = 'h4848; // Line descriptor for 3_5
2314
    'h8f9 : romdata_int = 'h35c;
2315
    'h8fa : romdata_int = 'h89a;
2316
    'h8fb : romdata_int = 'h1480;
2317
    'h8fc : romdata_int = 'h3517;
2318
    'h8fd : romdata_int = 'h404b;
2319
    'h8fe : romdata_int = 'h4296;
2320
    'h8ff : romdata_int = 'h6854;
2321
    'h900 : romdata_int = 'h8600;
2322
    'h901 : romdata_int = 'haac7;
2323
    'h902 : romdata_int = 'h848; // Line descriptor for 3_5
2324
    'h903 : romdata_int = 'hd22;
2325
    'h904 : romdata_int = 'hec5;
2326
    'h905 : romdata_int = 'h2a13;
2327
    'h906 : romdata_int = 'h2c87;
2328
    'h907 : romdata_int = 'h2e8e;
2329
    'h908 : romdata_int = 'h40df;
2330
    'h909 : romdata_int = 'h84bc;
2331
    'h90a : romdata_int = 'h8800;
2332
    'h90b : romdata_int = 'hb07a;
2333
    'h90c : romdata_int = 'h4848; // Line descriptor for 3_5
2334
    'h90d : romdata_int = 'h499;
2335
    'h90e : romdata_int = 'h747;
2336
    'h90f : romdata_int = 'h10c1;
2337
    'h910 : romdata_int = 'h1d4d;
2338
    'h911 : romdata_int = 'h2155;
2339
    'h912 : romdata_int = 'h3d4f;
2340
    'h913 : romdata_int = 'h4c95;
2341
    'h914 : romdata_int = 'h8a00;
2342
    'h915 : romdata_int = 'ha69c;
2343
    'h916 : romdata_int = 'h848; // Line descriptor for 3_5
2344
    'h917 : romdata_int = 'ha1;
2345
    'h918 : romdata_int = 'h648;
2346
    'h919 : romdata_int = 'hc5e;
2347
    'h91a : romdata_int = 'h26b8;
2348
    'h91b : romdata_int = 'h2e52;
2349
    'h91c : romdata_int = 'h2eee;
2350
    'h91d : romdata_int = 'h5707;
2351
    'h91e : romdata_int = 'h8c00;
2352
    'h91f : romdata_int = 'hc873;
2353
    'h920 : romdata_int = 'h4848; // Line descriptor for 3_5
2354
    'h921 : romdata_int = 'h2;
2355
    'h922 : romdata_int = 'h6a0;
2356
    'h923 : romdata_int = 'h6ae;
2357
    'h924 : romdata_int = 'h1aa4;
2358
    'h925 : romdata_int = 'h314b;
2359
    'h926 : romdata_int = 'h3744;
2360
    'h927 : romdata_int = 'h4901;
2361
    'h928 : romdata_int = 'h8e00;
2362
    'h929 : romdata_int = 'hc0f1;
2363
    'h92a : romdata_int = 'h848; // Line descriptor for 3_5
2364
    'h92b : romdata_int = 'h20b;
2365
    'h92c : romdata_int = 'h80f;
2366
    'h92d : romdata_int = 'ha5a;
2367
    'h92e : romdata_int = 'h1a0a;
2368
    'h92f : romdata_int = 'h3029;
2369
    'h930 : romdata_int = 'h3525;
2370
    'h931 : romdata_int = 'h5f33;
2371
    'h932 : romdata_int = 'h9000;
2372
    'h933 : romdata_int = 'hd431;
2373
    'h934 : romdata_int = 'h4848; // Line descriptor for 3_5
2374
    'h935 : romdata_int = 'h2a1;
2375
    'h936 : romdata_int = 'had0;
2376
    'h937 : romdata_int = 'h2067;
2377
    'h938 : romdata_int = 'h234f;
2378
    'h939 : romdata_int = 'h26ef;
2379
    'h93a : romdata_int = 'h3065;
2380
    'h93b : romdata_int = 'h823b;
2381
    'h93c : romdata_int = 'h9200;
2382
    'h93d : romdata_int = 'hcf13;
2383
    'h93e : romdata_int = 'h848; // Line descriptor for 3_5
2384
    'h93f : romdata_int = 'h133f;
2385
    'h940 : romdata_int = 'h16fd;
2386
    'h941 : romdata_int = 'h1915;
2387
    'h942 : romdata_int = 'h3344;
2388
    'h943 : romdata_int = 'h450a;
2389
    'h944 : romdata_int = 'h46c0;
2390
    'h945 : romdata_int = 'h5915;
2391
    'h946 : romdata_int = 'h9400;
2392
    'h947 : romdata_int = 'h9444;
2393
    'h948 : romdata_int = 'h4848; // Line descriptor for 3_5
2394
    'h949 : romdata_int = 'h1e67;
2395
    'h94a : romdata_int = 'h28e4;
2396
    'h94b : romdata_int = 'h292f;
2397
    'h94c : romdata_int = 'h2c77;
2398
    'h94d : romdata_int = 'h3a7b;
2399
    'h94e : romdata_int = 'h3c37;
2400
    'h94f : romdata_int = 'h5520;
2401
    'h950 : romdata_int = 'h9600;
2402
    'h951 : romdata_int = 'hac21;
2403
    'h952 : romdata_int = 'h848; // Line descriptor for 3_5
2404
    'h953 : romdata_int = 'h166b;
2405
    'h954 : romdata_int = 'h1e0f;
2406
    'h955 : romdata_int = 'h28d5;
2407
    'h956 : romdata_int = 'h2962;
2408
    'h957 : romdata_int = 'h3215;
2409
    'h958 : romdata_int = 'h3462;
2410
    'h959 : romdata_int = 'h752e;
2411
    'h95a : romdata_int = 'h9800;
2412
    'h95b : romdata_int = 'hd0a8;
2413
    'h95c : romdata_int = 'h4848; // Line descriptor for 3_5
2414
    'h95d : romdata_int = 'h8e5;
2415
    'h95e : romdata_int = 'h1228;
2416
    'h95f : romdata_int = 'h330b;
2417
    'h960 : romdata_int = 'h3355;
2418
    'h961 : romdata_int = 'h3c48;
2419
    'h962 : romdata_int = 'h455a;
2420
    'h963 : romdata_int = 'h629a;
2421
    'h964 : romdata_int = 'h9a00;
2422
    'h965 : romdata_int = 'hbabb;
2423
    'h966 : romdata_int = 'h848; // Line descriptor for 3_5
2424
    'h967 : romdata_int = 'h28;
2425
    'h968 : romdata_int = 'h49d;
2426
    'h969 : romdata_int = 'h1418;
2427
    'h96a : romdata_int = 'h2d47;
2428
    'h96b : romdata_int = 'h3a8a;
2429
    'h96c : romdata_int = 'h3f20;
2430
    'h96d : romdata_int = 'h50b9;
2431
    'h96e : romdata_int = 'h9c00;
2432
    'h96f : romdata_int = 'ha351;
2433
    'h970 : romdata_int = 'h4848; // Line descriptor for 3_5
2434
    'h971 : romdata_int = 'h8c4;
2435
    'h972 : romdata_int = 'h1810;
2436
    'h973 : romdata_int = 'h2470;
2437
    'h974 : romdata_int = 'h30b5;
2438
    'h975 : romdata_int = 'h4420;
2439
    'h976 : romdata_int = 'h449c;
2440
    'h977 : romdata_int = 'h8b10;
2441
    'h978 : romdata_int = 'h9e00;
2442
    'h979 : romdata_int = 'hb0c8;
2443
    'h97a : romdata_int = 'h848; // Line descriptor for 3_5
2444
    'h97b : romdata_int = 'h1037;
2445
    'h97c : romdata_int = 'h112a;
2446
    'h97d : romdata_int = 'h18ad;
2447
    'h97e : romdata_int = 'h1f5e;
2448
    'h97f : romdata_int = 'h28c7;
2449
    'h980 : romdata_int = 'h3511;
2450
    'h981 : romdata_int = 'h8084;
2451
    'h982 : romdata_int = 'ha000;
2452
    'h983 : romdata_int = 'ha4c2;
2453
    'h984 : romdata_int = 'h4848; // Line descriptor for 3_5
2454
    'h985 : romdata_int = 'h42a;
2455
    'h986 : romdata_int = 'h124e;
2456
    'h987 : romdata_int = 'h2557;
2457
    'h988 : romdata_int = 'h3c6b;
2458
    'h989 : romdata_int = 'h3d37;
2459
    'h98a : romdata_int = 'h4276;
2460
    'h98b : romdata_int = 'h6f0d;
2461
    'h98c : romdata_int = 'ha200;
2462
    'h98d : romdata_int = 'hd428;
2463
    'h98e : romdata_int = 'h848; // Line descriptor for 3_5
2464
    'h98f : romdata_int = 'h101e;
2465
    'h990 : romdata_int = 'h1158;
2466
    'h991 : romdata_int = 'h30b6;
2467
    'h992 : romdata_int = 'h314c;
2468
    'h993 : romdata_int = 'h4259;
2469
    'h994 : romdata_int = 'h4463;
2470
    'h995 : romdata_int = 'h82f3;
2471
    'h996 : romdata_int = 'h9a68;
2472
    'h997 : romdata_int = 'ha400;
2473
    'h998 : romdata_int = 'h4848; // Line descriptor for 3_5
2474
    'h999 : romdata_int = 'he7d;
2475
    'h99a : romdata_int = 'h1a32;
2476
    'h99b : romdata_int = 'h2b44;
2477
    'h99c : romdata_int = 'h344e;
2478
    'h99d : romdata_int = 'h3505;
2479
    'h99e : romdata_int = 'h4627;
2480
    'h99f : romdata_int = 'h6d12;
2481
    'h9a0 : romdata_int = 'ha600;
2482
    'h9a1 : romdata_int = 'hb638;
2483
    'h9a2 : romdata_int = 'h848; // Line descriptor for 3_5
2484
    'h9a3 : romdata_int = 'h923;
2485
    'h9a4 : romdata_int = 'h1906;
2486
    'h9a5 : romdata_int = 'h1b3e;
2487
    'h9a6 : romdata_int = 'h2ab3;
2488
    'h9a7 : romdata_int = 'h2b62;
2489
    'h9a8 : romdata_int = 'h3736;
2490
    'h9a9 : romdata_int = 'h8ef0;
2491
    'h9aa : romdata_int = 'h90c1;
2492
    'h9ab : romdata_int = 'ha800;
2493
    'h9ac : romdata_int = 'h4848; // Line descriptor for 3_5
2494
    'h9ad : romdata_int = 'h734;
2495
    'h9ae : romdata_int = 'h1312;
2496
    'h9af : romdata_int = 'h1ab3;
2497
    'h9b0 : romdata_int = 'h2818;
2498
    'h9b1 : romdata_int = 'h2ef7;
2499
    'h9b2 : romdata_int = 'h3838;
2500
    'h9b3 : romdata_int = 'h7032;
2501
    'h9b4 : romdata_int = 'h9338;
2502
    'h9b5 : romdata_int = 'haa00;
2503
    'h9b6 : romdata_int = 'h848; // Line descriptor for 3_5
2504
    'h9b7 : romdata_int = 'ha83;
2505
    'h9b8 : romdata_int = 'hee9;
2506
    'h9b9 : romdata_int = 'h1c0b;
2507
    'h9ba : romdata_int = 'h28fc;
2508
    'h9bb : romdata_int = 'h3b47;
2509
    'h9bc : romdata_int = 'h4089;
2510
    'h9bd : romdata_int = 'h4a29;
2511
    'h9be : romdata_int = 'hac00;
2512
    'h9bf : romdata_int = 'hd62f;
2513
    'h9c0 : romdata_int = 'h4848; // Line descriptor for 3_5
2514
    'h9c1 : romdata_int = 'h338;
2515
    'h9c2 : romdata_int = 'h1916;
2516
    'h9c3 : romdata_int = 'h1c45;
2517
    'h9c4 : romdata_int = 'h2e4b;
2518
    'h9c5 : romdata_int = 'h4308;
2519
    'h9c6 : romdata_int = 'h4558;
2520
    'h9c7 : romdata_int = 'h72b9;
2521
    'h9c8 : romdata_int = 'ha8d7;
2522
    'h9c9 : romdata_int = 'hae00;
2523
    'h9ca : romdata_int = 'h848; // Line descriptor for 3_5
2524
    'h9cb : romdata_int = 'he4c;
2525
    'h9cc : romdata_int = 'h1c7a;
2526
    'h9cd : romdata_int = 'h2a38;
2527
    'h9ce : romdata_int = 'h2a75;
2528
    'h9cf : romdata_int = 'h2edf;
2529
    'h9d0 : romdata_int = 'h4291;
2530
    'h9d1 : romdata_int = 'h4e6c;
2531
    'h9d2 : romdata_int = 'haf5a;
2532
    'h9d3 : romdata_int = 'hb000;
2533
    'h9d4 : romdata_int = 'h4848; // Line descriptor for 3_5
2534
    'h9d5 : romdata_int = 'h115;
2535
    'h9d6 : romdata_int = 'hf4a;
2536
    'h9d7 : romdata_int = 'h1221;
2537
    'h9d8 : romdata_int = 'h1338;
2538
    'h9d9 : romdata_int = 'h1a72;
2539
    'h9da : romdata_int = 'h3844;
2540
    'h9db : romdata_int = 'h7a33;
2541
    'h9dc : romdata_int = 'h9cb1;
2542
    'h9dd : romdata_int = 'hb200;
2543
    'h9de : romdata_int = 'h848; // Line descriptor for 3_5
2544
    'h9df : romdata_int = 'h20cb;
2545
    'h9e0 : romdata_int = 'h2080;
2546
    'h9e1 : romdata_int = 'h20e5;
2547
    'h9e2 : romdata_int = 'h2233;
2548
    'h9e3 : romdata_int = 'h275f;
2549
    'h9e4 : romdata_int = 'h4023;
2550
    'h9e5 : romdata_int = 'h8942;
2551
    'h9e6 : romdata_int = 'hb400;
2552
    'h9e7 : romdata_int = 'hd26b;
2553
    'h9e8 : romdata_int = 'h4848; // Line descriptor for 3_5
2554
    'h9e9 : romdata_int = 'h259;
2555
    'h9ea : romdata_int = 'h1923;
2556
    'h9eb : romdata_int = 'h24e6;
2557
    'h9ec : romdata_int = 'h366f;
2558
    'h9ed : romdata_int = 'h3ab7;
2559
    'h9ee : romdata_int = 'h3ea4;
2560
    'h9ef : romdata_int = 'h7e4f;
2561
    'h9f0 : romdata_int = 'hb600;
2562
    'h9f1 : romdata_int = 'hcb16;
2563
    'h9f2 : romdata_int = 'h848; // Line descriptor for 3_5
2564
    'h9f3 : romdata_int = 'h1e41;
2565
    'h9f4 : romdata_int = 'h2032;
2566
    'h9f5 : romdata_int = 'h2559;
2567
    'h9f6 : romdata_int = 'h2567;
2568
    'h9f7 : romdata_int = 'h2c01;
2569
    'h9f8 : romdata_int = 'h2c19;
2570
    'h9f9 : romdata_int = 'h88a1;
2571
    'h9fa : romdata_int = 'hb800;
2572
    'h9fb : romdata_int = 'hd33c;
2573
    'h9fc : romdata_int = 'h4848; // Line descriptor for 3_5
2574
    'h9fd : romdata_int = 'h4ef;
2575
    'h9fe : romdata_int = 'h1308;
2576
    'h9ff : romdata_int = 'h1855;
2577
    'ha00 : romdata_int = 'h20db;
2578
    'ha01 : romdata_int = 'h2633;
2579
    'ha02 : romdata_int = 'h4464;
2580
    'ha03 : romdata_int = 'h7a58;
2581
    'ha04 : romdata_int = 'haa82;
2582
    'ha05 : romdata_int = 'hba00;
2583
    'ha06 : romdata_int = 'h848; // Line descriptor for 3_5
2584
    'ha07 : romdata_int = 'h8e;
2585
    'ha08 : romdata_int = 'ha0e;
2586
    'ha09 : romdata_int = 'h32f4;
2587
    'ha0a : romdata_int = 'h3864;
2588
    'ha0b : romdata_int = 'h3e6e;
2589
    'ha0c : romdata_int = 'h4428;
2590
    'ha0d : romdata_int = 'h8618;
2591
    'ha0e : romdata_int = 'hbc00;
2592
    'ha0f : romdata_int = 'hbe15;
2593
    'ha10 : romdata_int = 'h4848; // Line descriptor for 3_5
2594
    'ha11 : romdata_int = 'h760;
2595
    'ha12 : romdata_int = 'h894;
2596
    'ha13 : romdata_int = 'hac5;
2597
    'ha14 : romdata_int = 'h194c;
2598
    'ha15 : romdata_int = 'h2149;
2599
    'ha16 : romdata_int = 'h2646;
2600
    'ha17 : romdata_int = 'h4eaa;
2601
    'ha18 : romdata_int = 'h94ae;
2602
    'ha19 : romdata_int = 'hbe00;
2603
    'ha1a : romdata_int = 'h848; // Line descriptor for 3_5
2604
    'ha1b : romdata_int = 'h4c7;
2605
    'ha1c : romdata_int = 'hd34;
2606
    'ha1d : romdata_int = 'h32fa;
2607
    'ha1e : romdata_int = 'h3861;
2608
    'ha1f : romdata_int = 'h429e;
2609
    'ha20 : romdata_int = 'h46d0;
2610
    'ha21 : romdata_int = 'h8ebe;
2611
    'ha22 : romdata_int = 'ha00f;
2612
    'ha23 : romdata_int = 'hc000;
2613
    'ha24 : romdata_int = 'h4848; // Line descriptor for 3_5
2614
    'ha25 : romdata_int = 'h711;
2615
    'ha26 : romdata_int = 'hae7;
2616
    'ha27 : romdata_int = 'h1d51;
2617
    'ha28 : romdata_int = 'h251a;
2618
    'ha29 : romdata_int = 'h28ad;
2619
    'ha2a : romdata_int = 'h2b35;
2620
    'ha2b : romdata_int = 'h64bf;
2621
    'ha2c : romdata_int = 'hc200;
2622
    'ha2d : romdata_int = 'hc812;
2623
    'ha2e : romdata_int = 'h848; // Line descriptor for 3_5
2624
    'ha2f : romdata_int = 'h72;
2625
    'ha30 : romdata_int = 'h183c;
2626
    'ha31 : romdata_int = 'h3b28;
2627
    'ha32 : romdata_int = 'h3cb8;
2628
    'ha33 : romdata_int = 'h409c;
2629
    'ha34 : romdata_int = 'h42e3;
2630
    'ha35 : romdata_int = 'h4a91;
2631
    'ha36 : romdata_int = 'ha840;
2632
    'ha37 : romdata_int = 'hc400;
2633
    'ha38 : romdata_int = 'h4848; // Line descriptor for 3_5
2634
    'ha39 : romdata_int = 'h339;
2635
    'ha3a : romdata_int = 'h84e;
2636
    'ha3b : romdata_int = 'h2d5c;
2637
    'ha3c : romdata_int = 'h3953;
2638
    'ha3d : romdata_int = 'h3f65;
2639
    'ha3e : romdata_int = 'h413c;
2640
    'ha3f : romdata_int = 'h8539;
2641
    'ha40 : romdata_int = 'h925c;
2642
    'ha41 : romdata_int = 'hc600;
2643
    'ha42 : romdata_int = 'h848; // Line descriptor for 3_5
2644
    'ha43 : romdata_int = 'hc5f;
2645
    'ha44 : romdata_int = 'h10e5;
2646
    'ha45 : romdata_int = 'h1498;
2647
    'ha46 : romdata_int = 'h2f25;
2648
    'ha47 : romdata_int = 'h44e1;
2649
    'ha48 : romdata_int = 'h4741;
2650
    'ha49 : romdata_int = 'h5a9c;
2651
    'ha4a : romdata_int = 'h9d52;
2652
    'ha4b : romdata_int = 'hc800;
2653
    'ha4c : romdata_int = 'h4848; // Line descriptor for 3_5
2654
    'ha4d : romdata_int = 'hf11;
2655
    'ha4e : romdata_int = 'h1c99;
2656
    'ha4f : romdata_int = 'h22eb;
2657
    'ha50 : romdata_int = 'h34c2;
2658
    'ha51 : romdata_int = 'h3eec;
2659
    'ha52 : romdata_int = 'h4652;
2660
    'ha53 : romdata_int = 'h8cbc;
2661
    'ha54 : romdata_int = 'hca00;
2662
    'ha55 : romdata_int = 'hd66b;
2663
    'ha56 : romdata_int = 'h848; // Line descriptor for 3_5
2664
    'ha57 : romdata_int = 'ha13;
2665
    'ha58 : romdata_int = 'h2755;
2666
    'ha59 : romdata_int = 'h28f2;
2667
    'ha5a : romdata_int = 'h2a58;
2668
    'ha5b : romdata_int = 'h3154;
2669
    'ha5c : romdata_int = 'h3ac4;
2670
    'ha5d : romdata_int = 'h8cba;
2671
    'ha5e : romdata_int = 'haf56;
2672
    'ha5f : romdata_int = 'hcc00;
2673
    'ha60 : romdata_int = 'h4848; // Line descriptor for 3_5
2674
    'ha61 : romdata_int = 'hcd9;
2675
    'ha62 : romdata_int = 'hd2b;
2676
    'ha63 : romdata_int = 'h1425;
2677
    'ha64 : romdata_int = 'h3893;
2678
    'ha65 : romdata_int = 'h424f;
2679
    'ha66 : romdata_int = 'h44af;
2680
    'ha67 : romdata_int = 'h5d1e;
2681
    'ha68 : romdata_int = 'hb211;
2682
    'ha69 : romdata_int = 'hce00;
2683
    'ha6a : romdata_int = 'h848; // Line descriptor for 3_5
2684
    'ha6b : romdata_int = 'h8d8;
2685
    'ha6c : romdata_int = 'ha77;
2686
    'ha6d : romdata_int = 'hc06;
2687
    'ha6e : romdata_int = 'h1c6f;
2688
    'ha6f : romdata_int = 'h233b;
2689
    'ha70 : romdata_int = 'h34ad;
2690
    'ha71 : romdata_int = 'h6a50;
2691
    'ha72 : romdata_int = 'hbe53;
2692
    'ha73 : romdata_int = 'hd000;
2693
    'ha74 : romdata_int = 'h4848; // Line descriptor for 3_5
2694
    'ha75 : romdata_int = 'h1761;
2695
    'ha76 : romdata_int = 'h1958;
2696
    'ha77 : romdata_int = 'h24ba;
2697
    'ha78 : romdata_int = 'h271c;
2698
    'ha79 : romdata_int = 'h38c6;
2699
    'ha7a : romdata_int = 'h4607;
2700
    'ha7b : romdata_int = 'h6131;
2701
    'ha7c : romdata_int = 'hba54;
2702
    'ha7d : romdata_int = 'hd200;
2703
    'ha7e : romdata_int = 'h848; // Line descriptor for 3_5
2704
    'ha7f : romdata_int = 'h1a9a;
2705
    'ha80 : romdata_int = 'h2137;
2706
    'ha81 : romdata_int = 'h2688;
2707
    'ha82 : romdata_int = 'h3112;
2708
    'ha83 : romdata_int = 'h380e;
2709
    'ha84 : romdata_int = 'h383b;
2710
    'ha85 : romdata_int = 'h5cd0;
2711
    'ha86 : romdata_int = 'hb520;
2712
    'ha87 : romdata_int = 'hd400;
2713
    'ha88 : romdata_int = 'h6848; // Line descriptor for 3_5
2714
    'ha89 : romdata_int = 'h140a;
2715
    'ha8a : romdata_int = 'h1e4c;
2716
    'ha8b : romdata_int = 'h26c4;
2717
    'ha8c : romdata_int = 'h2a70;
2718
    'ha8d : romdata_int = 'h2b21;
2719
    'ha8e : romdata_int = 'h3f55;
2720
    'ha8f : romdata_int = 'h6c3a;
2721
    'ha90 : romdata_int = 'hc51d;
2722
    'ha91 : romdata_int = 'hd600;
2723
    'ha92 : romdata_int = 'h73c; // Line descriptor for 2_3
2724
    'ha93 : romdata_int = 'h0;
2725
    'ha94 : romdata_int = 'h4;
2726
    'ha95 : romdata_int = 'h16ec;
2727
    'ha96 : romdata_int = 'h18e6;
2728
    'ha97 : romdata_int = 'h4f1c;
2729
    'ha98 : romdata_int = 'h7800;
2730
    'ha99 : romdata_int = 'h9ea5;
2731
    'ha9a : romdata_int = 'h9ee8;
2732
    'ha9b : romdata_int = 'h473c; // Line descriptor for 2_3
2733
    'ha9c : romdata_int = 'h200;
2734
    'ha9d : romdata_int = 'h6bd;
2735
    'ha9e : romdata_int = 'hf37;
2736
    'ha9f : romdata_int = 'h18ec;
2737
    'haa0 : romdata_int = 'h22e1;
2738
    'haa1 : romdata_int = 'h7a00;
2739
    'haa2 : romdata_int = 'hde3d;
2740
    'haa3 : romdata_int = 'he12e;
2741
    'haa4 : romdata_int = 'h73c; // Line descriptor for 2_3
2742
    'haa5 : romdata_int = 'h400;
2743
    'haa6 : romdata_int = 'h1097;
2744
    'haa7 : romdata_int = 'h1648;
2745
    'haa8 : romdata_int = 'h4f3e;
2746
    'haa9 : romdata_int = 'h5f5f;
2747
    'haaa : romdata_int = 'h7c00;
2748
    'haab : romdata_int = 'haa48;
2749
    'haac : romdata_int = 'hea70;
2750
    'haad : romdata_int = 'h473c; // Line descriptor for 2_3
2751
    'haae : romdata_int = 'h334;
2752
    'haaf : romdata_int = 'h600;
2753
    'hab0 : romdata_int = 'h667;
2754
    'hab1 : romdata_int = 'hce5;
2755
    'hab2 : romdata_int = 'h2013;
2756
    'hab3 : romdata_int = 'h7e00;
2757
    'hab4 : romdata_int = 'hd50b;
2758
    'hab5 : romdata_int = 'he52c;
2759
    'hab6 : romdata_int = 'h73c; // Line descriptor for 2_3
2760
    'hab7 : romdata_int = 'h261;
2761
    'hab8 : romdata_int = 'h4c9;
2762
    'hab9 : romdata_int = 'h800;
2763
    'haba : romdata_int = 'h3d53;
2764
    'habb : romdata_int = 'h741f;
2765
    'habc : romdata_int = 'h8000;
2766
    'habd : romdata_int = 'h910a;
2767
    'habe : romdata_int = 'h9c22;
2768
    'habf : romdata_int = 'h473c; // Line descriptor for 2_3
2769
    'hac0 : romdata_int = 'ha00;
2770
    'hac1 : romdata_int = 'hb38;
2771
    'hac2 : romdata_int = 'he5c;
2772
    'hac3 : romdata_int = 'h129e;
2773
    'hac4 : romdata_int = 'h72a4;
2774
    'hac5 : romdata_int = 'h7c33;
2775
    'hac6 : romdata_int = 'h8200;
2776
    'hac7 : romdata_int = 'h84c1;
2777
    'hac8 : romdata_int = 'h73c; // Line descriptor for 2_3
2778
    'hac9 : romdata_int = 'h89;
2779
    'haca : romdata_int = 'hc00;
2780
    'hacb : romdata_int = 'hc08;
2781
    'hacc : romdata_int = 'h10d5;
2782
    'hacd : romdata_int = 'h4660;
2783
    'hace : romdata_int = 'h8400;
2784
    'hacf : romdata_int = 'h8a14;
2785
    'had0 : romdata_int = 'ha6a2;
2786
    'had1 : romdata_int = 'h473c; // Line descriptor for 2_3
2787
    'had2 : romdata_int = 'h2e;
2788
    'had3 : romdata_int = 'h2e0;
2789
    'had4 : romdata_int = 'he00;
2790
    'had5 : romdata_int = 'hf58;
2791
    'had6 : romdata_int = 'h1d0b;
2792
    'had7 : romdata_int = 'h8600;
2793
    'had8 : romdata_int = 'hb07b;
2794
    'had9 : romdata_int = 'hc71e;
2795
    'hada : romdata_int = 'h73c; // Line descriptor for 2_3
2796
    'hadb : romdata_int = 'h1000;
2797
    'hadc : romdata_int = 'h1406;
2798
    'hadd : romdata_int = 'h16d2;
2799
    'hade : romdata_int = 'h1a31;
2800
    'hadf : romdata_int = 'h3ef3;
2801
    'hae0 : romdata_int = 'h8800;
2802
    'hae1 : romdata_int = 'hd654;
2803
    'hae2 : romdata_int = 'hdd0d;
2804
    'hae3 : romdata_int = 'h473c; // Line descriptor for 2_3
2805
    'hae4 : romdata_int = 'h948;
2806
    'hae5 : romdata_int = 'hace;
2807
    'hae6 : romdata_int = 'heb0;
2808
    'hae7 : romdata_int = 'h1200;
2809
    'hae8 : romdata_int = 'h5468;
2810
    'hae9 : romdata_int = 'h8a00;
2811
    'haea : romdata_int = 'hbc1a;
2812
    'haeb : romdata_int = 'hcf33;
2813
    'haec : romdata_int = 'h73c; // Line descriptor for 2_3
2814
    'haed : romdata_int = 'hccf;
2815
    'haee : romdata_int = 'he87;
2816
    'haef : romdata_int = 'h1400;
2817
    'haf0 : romdata_int = 'h4c44;
2818
    'haf1 : romdata_int = 'h553d;
2819
    'haf2 : romdata_int = 'h8c00;
2820
    'haf3 : romdata_int = 'haa85;
2821
    'haf4 : romdata_int = 'hbc7d;
2822
    'haf5 : romdata_int = 'h473c; // Line descriptor for 2_3
2823
    'haf6 : romdata_int = 'h477;
2824
    'haf7 : romdata_int = 'hc5d;
2825
    'haf8 : romdata_int = 'h103f;
2826
    'haf9 : romdata_int = 'h1600;
2827
    'hafa : romdata_int = 'h4344;
2828
    'hafb : romdata_int = 'h8e00;
2829
    'hafc : romdata_int = 'ha466;
2830
    'hafd : romdata_int = 'hc203;
2831
    'hafe : romdata_int = 'h73c; // Line descriptor for 2_3
2832
    'haff : romdata_int = 'h6a6;
2833
    'hb00 : romdata_int = 'h1351;
2834
    'hb01 : romdata_int = 'h1800;
2835
    'hb02 : romdata_int = 'h58c4;
2836
    'hb03 : romdata_int = 'h7028;
2837
    'hb04 : romdata_int = 'h7f30;
2838
    'hb05 : romdata_int = 'h9000;
2839
    'hb06 : romdata_int = 'hce8d;
2840
    'hb07 : romdata_int = 'h473c; // Line descriptor for 2_3
2841
    'hb08 : romdata_int = 'h137;
2842
    'hb09 : romdata_int = 'h26b;
2843
    'hb0a : romdata_int = 'h1643;
2844
    'hb0b : romdata_int = 'h1a00;
2845
    'hb0c : romdata_int = 'h5151;
2846
    'hb0d : romdata_int = 'h82a9;
2847
    'hb0e : romdata_int = 'h9200;
2848
    'hb0f : romdata_int = 'h9804;
2849
    'hb10 : romdata_int = 'h73c; // Line descriptor for 2_3
2850
    'hb11 : romdata_int = 'h4d5;
2851
    'hb12 : romdata_int = 'h92a;
2852
    'hb13 : romdata_int = 'h1c00;
2853
    'hb14 : romdata_int = 'h2ed2;
2854
    'hb15 : romdata_int = 'h3711;
2855
    'hb16 : romdata_int = 'h9400;
2856
    'hb17 : romdata_int = 'h9a15;
2857
    'hb18 : romdata_int = 'ha45a;
2858
    'hb19 : romdata_int = 'h473c; // Line descriptor for 2_3
2859
    'hb1a : romdata_int = 'h44a;
2860
    'hb1b : romdata_int = 'h140f;
2861
    'hb1c : romdata_int = 'h1e00;
2862
    'hb1d : romdata_int = 'h3325;
2863
    'hb1e : romdata_int = 'h7120;
2864
    'hb1f : romdata_int = 'h8e9c;
2865
    'hb20 : romdata_int = 'h9600;
2866
    'hb21 : romdata_int = 'hd725;
2867
    'hb22 : romdata_int = 'h73c; // Line descriptor for 2_3
2868
    'hb23 : romdata_int = 'h6f2;
2869
    'hb24 : romdata_int = 'h14e2;
2870
    'hb25 : romdata_int = 'h2000;
2871
    'hb26 : romdata_int = 'h2767;
2872
    'hb27 : romdata_int = 'h4408;
2873
    'hb28 : romdata_int = 'h9800;
2874
    'hb29 : romdata_int = 'had04;
2875
    'hb2a : romdata_int = 'hcc54;
2876
    'hb2b : romdata_int = 'h473c; // Line descriptor for 2_3
2877
    'hb2c : romdata_int = 'h52b;
2878
    'hb2d : romdata_int = 'ha21;
2879
    'hb2e : romdata_int = 'hcc0;
2880
    'hb2f : romdata_int = 'h2200;
2881
    'hb30 : romdata_int = 'h4446;
2882
    'hb31 : romdata_int = 'h9a00;
2883
    'hb32 : romdata_int = 'h9cf6;
2884
    'hb33 : romdata_int = 'hd850;
2885
    'hb34 : romdata_int = 'h73c; // Line descriptor for 2_3
2886
    'hb35 : romdata_int = 'hafd;
2887
    'hb36 : romdata_int = 'h1313;
2888
    'hb37 : romdata_int = 'h2400;
2889
    'hb38 : romdata_int = 'h3a6f;
2890
    'hb39 : romdata_int = 'h4c61;
2891
    'hb3a : romdata_int = 'h8497;
2892
    'hb3b : romdata_int = 'h9c00;
2893
    'hb3c : romdata_int = 'hb159;
2894
    'hb3d : romdata_int = 'h473c; // Line descriptor for 2_3
2895
    'hb3e : romdata_int = 'hb0;
2896
    'hb3f : romdata_int = 'h134d;
2897
    'hb40 : romdata_int = 'h2600;
2898
    'hb41 : romdata_int = 'h5ec4;
2899
    'hb42 : romdata_int = 'h6437;
2900
    'hb43 : romdata_int = 'h8659;
2901
    'hb44 : romdata_int = 'h9e00;
2902
    'hb45 : romdata_int = 'ha85b;
2903
    'hb46 : romdata_int = 'h73c; // Line descriptor for 2_3
2904
    'hb47 : romdata_int = 'h255;
2905
    'hb48 : romdata_int = 'ha1c;
2906
    'hb49 : romdata_int = 'h2096;
2907
    'hb4a : romdata_int = 'h2800;
2908
    'hb4b : romdata_int = 'h5949;
2909
    'hb4c : romdata_int = 'h7a9c;
2910
    'hb4d : romdata_int = 'ha000;
2911
    'hb4e : romdata_int = 'hb42b;
2912
    'hb4f : romdata_int = 'h473c; // Line descriptor for 2_3
2913
    'hb50 : romdata_int = 'heca;
2914
    'hb51 : romdata_int = 'hf33;
2915
    'hb52 : romdata_int = 'h2a00;
2916
    'hb53 : romdata_int = 'h3874;
2917
    'hb54 : romdata_int = 'h48af;
2918
    'hb55 : romdata_int = 'ha200;
2919
    'hb56 : romdata_int = 'ha2a5;
2920
    'hb57 : romdata_int = 'haf03;
2921
    'hb58 : romdata_int = 'h73c; // Line descriptor for 2_3
2922
    'hb59 : romdata_int = 'h688;
2923
    'hb5a : romdata_int = 'h10a1;
2924
    'hb5b : romdata_int = 'h2c00;
2925
    'hb5c : romdata_int = 'h60a1;
2926
    'hb5d : romdata_int = 'h6e56;
2927
    'hb5e : romdata_int = 'h8c12;
2928
    'hb5f : romdata_int = 'h9485;
2929
    'hb60 : romdata_int = 'ha400;
2930
    'hb61 : romdata_int = 'h473c; // Line descriptor for 2_3
2931
    'hb62 : romdata_int = 'h10b;
2932
    'hb63 : romdata_int = 'h866;
2933
    'hb64 : romdata_int = 'h16aa;
2934
    'hb65 : romdata_int = 'h2e00;
2935
    'hb66 : romdata_int = 'h6a63;
2936
    'hb67 : romdata_int = 'ha600;
2937
    'hb68 : romdata_int = 'he633;
2938
    'hb69 : romdata_int = 'he658;
2939
    'hb6a : romdata_int = 'h73c; // Line descriptor for 2_3
2940
    'hb6b : romdata_int = 'h464;
2941
    'hb6c : romdata_int = 'h10fe;
2942
    'hb6d : romdata_int = 'h1766;
2943
    'hb6e : romdata_int = 'h3000;
2944
    'hb6f : romdata_int = 'h5b47;
2945
    'hb70 : romdata_int = 'h8896;
2946
    'hb71 : romdata_int = 'ha800;
2947
    'hb72 : romdata_int = 'hb339;
2948
    'hb73 : romdata_int = 'h473c; // Line descriptor for 2_3
2949
    'hb74 : romdata_int = 'h86;
2950
    'hb75 : romdata_int = 'h2e6;
2951
    'hb76 : romdata_int = 'h1345;
2952
    'hb77 : romdata_int = 'h3200;
2953
    'hb78 : romdata_int = 'h4ac6;
2954
    'hb79 : romdata_int = 'haa00;
2955
    'hb7a : romdata_int = 'hc537;
2956
    'hb7b : romdata_int = 'hd310;
2957
    'hb7c : romdata_int = 'h73c; // Line descriptor for 2_3
2958
    'hb7d : romdata_int = 'h8;
2959
    'hb7e : romdata_int = 'h858;
2960
    'hb7f : romdata_int = 'h3400;
2961
    'hb80 : romdata_int = 'h6c7a;
2962
    'hb81 : romdata_int = 'h6cc3;
2963
    'hb82 : romdata_int = 'h9b41;
2964
    'hb83 : romdata_int = 'ha32f;
2965
    'hb84 : romdata_int = 'hac00;
2966
    'hb85 : romdata_int = 'h473c; // Line descriptor for 2_3
2967
    'hb86 : romdata_int = 'h31e;
2968
    'hb87 : romdata_int = 'h916;
2969
    'hb88 : romdata_int = 'h3600;
2970
    'hb89 : romdata_int = 'h3cd8;
2971
    'hb8a : romdata_int = 'h746f;
2972
    'hb8b : romdata_int = 'h9064;
2973
    'hb8c : romdata_int = 'hae00;
2974
    'hb8d : romdata_int = 'hc098;
2975
    'hb8e : romdata_int = 'h73c; // Line descriptor for 2_3
2976
    'hb8f : romdata_int = 'h536;
2977
    'hb90 : romdata_int = 'h143a;
2978
    'hb91 : romdata_int = 'h144c;
2979
    'hb92 : romdata_int = 'h2ca7;
2980
    'hb93 : romdata_int = 'h3800;
2981
    'hb94 : romdata_int = 'hb000;
2982
    'hb95 : romdata_int = 'hd2d4;
2983
    'hb96 : romdata_int = 'he295;
2984
    'hb97 : romdata_int = 'h473c; // Line descriptor for 2_3
2985
    'hb98 : romdata_int = 'h1299;
2986
    'hb99 : romdata_int = 'h1689;
2987
    'hb9a : romdata_int = 'h387b;
2988
    'hb9b : romdata_int = 'h3a00;
2989
    'hb9c : romdata_int = 'h6e5d;
2990
    'hb9d : romdata_int = 'h92f6;
2991
    'hb9e : romdata_int = 'hb200;
2992
    'hb9f : romdata_int = 'hb72a;
2993
    'hba0 : romdata_int = 'h73c; // Line descriptor for 2_3
2994
    'hba1 : romdata_int = 'hb19;
2995
    'hba2 : romdata_int = 'h126f;
2996
    'hba3 : romdata_int = 'h2424;
2997
    'hba4 : romdata_int = 'h3c00;
2998
    'hba5 : romdata_int = 'h4679;
2999
    'hba6 : romdata_int = 'hac5c;
3000
    'hba7 : romdata_int = 'hb400;
3001
    'hba8 : romdata_int = 'hc315;
3002
    'hba9 : romdata_int = 'h473c; // Line descriptor for 2_3
3003
    'hbaa : romdata_int = 'h84d;
3004
    'hbab : romdata_int = 'hd22;
3005
    'hbac : romdata_int = 'heee;
3006
    'hbad : romdata_int = 'h160a;
3007
    'hbae : romdata_int = 'h3e00;
3008
    'hbaf : romdata_int = 'hb600;
3009
    'hbb0 : romdata_int = 'hc838;
3010
    'hbb1 : romdata_int = 'hea4f;
3011
    'hbb2 : romdata_int = 'h73c; // Line descriptor for 2_3
3012
    'hbb3 : romdata_int = 'h2d5;
3013
    'hbb4 : romdata_int = 'ha2a;
3014
    'hbb5 : romdata_int = 'he88;
3015
    'hbb6 : romdata_int = 'h16ea;
3016
    'hbb7 : romdata_int = 'h4000;
3017
    'hbb8 : romdata_int = 'h926b;
3018
    'hbb9 : romdata_int = 'ha007;
3019
    'hbba : romdata_int = 'hb800;
3020
    'hbbb : romdata_int = 'h473c; // Line descriptor for 2_3
3021
    'hbbc : romdata_int = 'h28a;
3022
    'hbbd : romdata_int = 'h127a;
3023
    'hbbe : romdata_int = 'h14b8;
3024
    'hbbf : romdata_int = 'h3462;
3025
    'hbc0 : romdata_int = 'h4200;
3026
    'hbc1 : romdata_int = 'hba00;
3027
    'hbc2 : romdata_int = 'hd0c9;
3028
    'hbc3 : romdata_int = 'he2c4;
3029
    'hbc4 : romdata_int = 'h73c; // Line descriptor for 2_3
3030
    'hbc5 : romdata_int = 'h722;
3031
    'hbc6 : romdata_int = 'hec3;
3032
    'hbc7 : romdata_int = 'h4400;
3033
    'hbc8 : romdata_int = 'h6883;
3034
    'hbc9 : romdata_int = 'h7355;
3035
    'hbca : romdata_int = 'h82b2;
3036
    'hbcb : romdata_int = 'h8b10;
3037
    'hbcc : romdata_int = 'hbc00;
3038
    'hbcd : romdata_int = 'h473c; // Line descriptor for 2_3
3039
    'hbce : romdata_int = 'h462;
3040
    'hbcf : romdata_int = 'h16ce;
3041
    'hbd0 : romdata_int = 'h2e08;
3042
    'hbd1 : romdata_int = 'h348d;
3043
    'hbd2 : romdata_int = 'h4600;
3044
    'hbd3 : romdata_int = 'h889e;
3045
    'hbd4 : romdata_int = 'hbe00;
3046
    'hbd5 : romdata_int = 'hd93c;
3047
    'hbd6 : romdata_int = 'h73c; // Line descriptor for 2_3
3048
    'hbd7 : romdata_int = 'h65c;
3049
    'hbd8 : romdata_int = 'hac9;
3050
    'hbd9 : romdata_int = 'h26cb;
3051
    'hbda : romdata_int = 'h4800;
3052
    'hbdb : romdata_int = 'h6648;
3053
    'hbdc : romdata_int = 'h8f5a;
3054
    'hbdd : romdata_int = 'hb66c;
3055
    'hbde : romdata_int = 'hc000;
3056
    'hbdf : romdata_int = 'h473c; // Line descriptor for 2_3
3057
    'hbe0 : romdata_int = 'h554;
3058
    'hbe1 : romdata_int = 'h749;
3059
    'hbe2 : romdata_int = 'h1281;
3060
    'hbe3 : romdata_int = 'h294b;
3061
    'hbe4 : romdata_int = 'h4a00;
3062
    'hbe5 : romdata_int = 'hc200;
3063
    'hbe6 : romdata_int = 'hc503;
3064
    'hbe7 : romdata_int = 'hc75c;
3065
    'hbe8 : romdata_int = 'h73c; // Line descriptor for 2_3
3066
    'hbe9 : romdata_int = 'h8f3;
3067
    'hbea : romdata_int = 'h128b;
3068
    'hbeb : romdata_int = 'h2a84;
3069
    'hbec : romdata_int = 'h412a;
3070
    'hbed : romdata_int = 'h4c00;
3071
    'hbee : romdata_int = 'hb801;
3072
    'hbef : romdata_int = 'hc400;
3073
    'hbf0 : romdata_int = 'hcc99;
3074
    'hbf1 : romdata_int = 'h473c; // Line descriptor for 2_3
3075
    'hbf2 : romdata_int = 'h9a;
3076
    'hbf3 : romdata_int = 'h174c;
3077
    'hbf4 : romdata_int = 'h3b09;
3078
    'hbf5 : romdata_int = 'h4b53;
3079
    'hbf6 : romdata_int = 'h4e00;
3080
    'hbf7 : romdata_int = 'hbb56;
3081
    'hbf8 : romdata_int = 'hc600;
3082
    'hbf9 : romdata_int = 'hde32;
3083
    'hbfa : romdata_int = 'h73c; // Line descriptor for 2_3
3084
    'hbfb : romdata_int = 'h2a5;
3085
    'hbfc : romdata_int = 'he45;
3086
    'hbfd : romdata_int = 'h2d09;
3087
    'hbfe : romdata_int = 'h5000;
3088
    'hbff : romdata_int = 'h6a48;
3089
    'hc00 : romdata_int = 'hbf0a;
3090
    'hc01 : romdata_int = 'hc800;
3091
    'hc02 : romdata_int = 'hec84;
3092
    'hc03 : romdata_int = 'h473c; // Line descriptor for 2_3
3093
    'hc04 : romdata_int = 'h490;
3094
    'hc05 : romdata_int = 'hf06;
3095
    'hc06 : romdata_int = 'h308d;
3096
    'hc07 : romdata_int = 'h5200;
3097
    'hc08 : romdata_int = 'h5c3a;
3098
    'hc09 : romdata_int = 'h8098;
3099
    'hc0a : romdata_int = 'haeb1;
3100
    'hc0b : romdata_int = 'hca00;
3101
    'hc0c : romdata_int = 'h73c; // Line descriptor for 2_3
3102
    'hc0d : romdata_int = 'hb08;
3103
    'hc0e : romdata_int = 'h1550;
3104
    'hc0f : romdata_int = 'h1eb8;
3105
    'hc10 : romdata_int = 'h5400;
3106
    'hc11 : romdata_int = 'h60d9;
3107
    'hc12 : romdata_int = 'h8104;
3108
    'hc13 : romdata_int = 'h96f5;
3109
    'hc14 : romdata_int = 'hcc00;
3110
    'hc15 : romdata_int = 'h473c; // Line descriptor for 2_3
3111
    'hc16 : romdata_int = 'hc18;
3112
    'hc17 : romdata_int = 'h1234;
3113
    'hc18 : romdata_int = 'h36ad;
3114
    'hc19 : romdata_int = 'h426f;
3115
    'hc1a : romdata_int = 'h5600;
3116
    'hc1b : romdata_int = 'hc0ab;
3117
    'hc1c : romdata_int = 'hce00;
3118
    'hc1d : romdata_int = 'hdb12;
3119
    'hc1e : romdata_int = 'h73c; // Line descriptor for 2_3
3120
    'hc1f : romdata_int = 'h267;
3121
    'hc20 : romdata_int = 'h958;
3122
    'hc21 : romdata_int = 'h3317;
3123
    'hc22 : romdata_int = 'h5800;
3124
    'hc23 : romdata_int = 'h7756;
3125
    'hc24 : romdata_int = 'hb495;
3126
    'hc25 : romdata_int = 'hba3d;
3127
    'hc26 : romdata_int = 'hd000;
3128
    'hc27 : romdata_int = 'h473c; // Line descriptor for 2_3
3129
    'hc28 : romdata_int = 'hceb;
3130
    'hc29 : romdata_int = 'h14b5;
3131
    'hc2a : romdata_int = 'h4894;
3132
    'hc2b : romdata_int = 'h5342;
3133
    'hc2c : romdata_int = 'h5a00;
3134
    'hc2d : romdata_int = 'h9832;
3135
    'hc2e : romdata_int = 'ha8c8;
3136
    'hc2f : romdata_int = 'hd200;
3137
    'hc30 : romdata_int = 'h73c; // Line descriptor for 2_3
3138
    'hc31 : romdata_int = 'hd5;
3139
    'hc32 : romdata_int = 'h1140;
3140
    'hc33 : romdata_int = 'h1f55;
3141
    'hc34 : romdata_int = 'h5345;
3142
    'hc35 : romdata_int = 'h5c00;
3143
    'hc36 : romdata_int = 'h792f;
3144
    'hc37 : romdata_int = 'h7a89;
3145
    'hc38 : romdata_int = 'hd400;
3146
    'hc39 : romdata_int = 'h473c; // Line descriptor for 2_3
3147
    'hc3a : romdata_int = 'hc40;
3148
    'hc3b : romdata_int = 'hcfd;
3149
    'hc3c : romdata_int = 'h1aa0;
3150
    'hc3d : romdata_int = 'h5e00;
3151
    'hc3e : romdata_int = 'h64b8;
3152
    'hc3f : romdata_int = 'h791e;
3153
    'hc40 : romdata_int = 'hd600;
3154
    'hc41 : romdata_int = 'he4df;
3155
    'hc42 : romdata_int = 'h73c; // Line descriptor for 2_3
3156
    'hc43 : romdata_int = 'h15c;
3157
    'hc44 : romdata_int = 'h81a;
3158
    'hc45 : romdata_int = 'h2419;
3159
    'hc46 : romdata_int = 'h5a7b;
3160
    'hc47 : romdata_int = 'h6000;
3161
    'hc48 : romdata_int = 'hb8b9;
3162
    'hc49 : romdata_int = 'hd800;
3163
    'hc4a : romdata_int = 'he915;
3164
    'hc4b : romdata_int = 'h473c; // Line descriptor for 2_3
3165
    'hc4c : romdata_int = 'h727;
3166
    'hc4d : romdata_int = 'ha33;
3167
    'hc4e : romdata_int = 'h146f;
3168
    'hc4f : romdata_int = 'h2a45;
3169
    'hc50 : romdata_int = 'h6200;
3170
    'hc51 : romdata_int = 'h96ea;
3171
    'hc52 : romdata_int = 'hda00;
3172
    'hc53 : romdata_int = 'hee3b;
3173
    'hc54 : romdata_int = 'h73c; // Line descriptor for 2_3
3174
    'hc55 : romdata_int = 'h4b6;
3175
    'hc56 : romdata_int = 'h10a6;
3176
    'hc57 : romdata_int = 'h308c;
3177
    'hc58 : romdata_int = 'h3e29;
3178
    'hc59 : romdata_int = 'h6400;
3179
    'hc5a : romdata_int = 'hdc00;
3180
    'hc5b : romdata_int = 'he13c;
3181
    'hc5c : romdata_int = 'heef3;
3182
    'hc5d : romdata_int = 'h473c; // Line descriptor for 2_3
3183
    'hc5e : romdata_int = 'hae;
3184
    'hc5f : romdata_int = 'ha50;
3185
    'hc60 : romdata_int = 'hc65;
3186
    'hc61 : romdata_int = 'h1560;
3187
    'hc62 : romdata_int = 'h6600;
3188
    'hc63 : romdata_int = 'h9535;
3189
    'hc64 : romdata_int = 'hde00;
3190
    'hc65 : romdata_int = 'he808;
3191
    'hc66 : romdata_int = 'h73c; // Line descriptor for 2_3
3192
    'hc67 : romdata_int = 'h734;
3193
    'hc68 : romdata_int = 'h10a8;
3194
    'hc69 : romdata_int = 'h50cd;
3195
    'hc6a : romdata_int = 'h673a;
3196
    'hc6b : romdata_int = 'h6800;
3197
    'hc6c : romdata_int = 'hbec2;
3198
    'hc6d : romdata_int = 'hcb4e;
3199
    'hc6e : romdata_int = 'he000;
3200
    'hc6f : romdata_int = 'h473c; // Line descriptor for 2_3
3201
    'hc70 : romdata_int = 'hc7b;
3202
    'hc71 : romdata_int = 'h1511;
3203
    'hc72 : romdata_int = 'h1cf4;
3204
    'hc73 : romdata_int = 'h573f;
3205
    'hc74 : romdata_int = 'h6a00;
3206
    'hc75 : romdata_int = 'h7c75;
3207
    'hc76 : romdata_int = 'hd159;
3208
    'hc77 : romdata_int = 'he200;
3209
    'hc78 : romdata_int = 'h73c; // Line descriptor for 2_3
3210
    'hc79 : romdata_int = 'h105a;
3211
    'hc7a : romdata_int = 'h1744;
3212
    'hc7b : romdata_int = 'h2242;
3213
    'hc7c : romdata_int = 'h6294;
3214
    'hc7d : romdata_int = 'h6c00;
3215
    'hc7e : romdata_int = 'h8cf9;
3216
    'hc7f : romdata_int = 'ha07a;
3217
    'hc80 : romdata_int = 'he400;
3218
    'hc81 : romdata_int = 'h473c; // Line descriptor for 2_3
3219
    'hc82 : romdata_int = 'h8ee;
3220
    'hc83 : romdata_int = 'h10b1;
3221
    'hc84 : romdata_int = 'h2822;
3222
    'hc85 : romdata_int = 'h406e;
3223
    'hc86 : romdata_int = 'h6e00;
3224
    'hc87 : romdata_int = 'hd4b8;
3225
    'hc88 : romdata_int = 'he600;
3226
    'hc89 : romdata_int = 'hec5e;
3227
    'hc8a : romdata_int = 'h73c; // Line descriptor for 2_3
3228
    'hc8b : romdata_int = 'h4f2;
3229
    'hc8c : romdata_int = 'h120b;
3230
    'hc8d : romdata_int = 'h5d0b;
3231
    'hc8e : romdata_int = 'h7000;
3232
    'hc8f : romdata_int = 'h7656;
3233
    'hc90 : romdata_int = 'hc846;
3234
    'hc91 : romdata_int = 'hda3a;
3235
    'hc92 : romdata_int = 'he800;
3236
    'hc93 : romdata_int = 'h473c; // Line descriptor for 2_3
3237
    'hc94 : romdata_int = 'h701;
3238
    'hc95 : romdata_int = 'h1447;
3239
    'hc96 : romdata_int = 'h56b7;
3240
    'hc97 : romdata_int = 'h6256;
3241
    'hc98 : romdata_int = 'h7200;
3242
    'hc99 : romdata_int = 'h7edf;
3243
    'hc9a : romdata_int = 'ha6a7;
3244
    'hc9b : romdata_int = 'hea00;
3245
    'hc9c : romdata_int = 'h73c; // Line descriptor for 2_3
3246
    'hc9d : romdata_int = 'h832;
3247
    'hc9e : romdata_int = 'haa2;
3248
    'hc9f : romdata_int = 'h114b;
3249
    'hca0 : romdata_int = 'h6840;
3250
    'hca1 : romdata_int = 'h7400;
3251
    'hca2 : romdata_int = 'h869c;
3252
    'hca3 : romdata_int = 'hb229;
3253
    'hca4 : romdata_int = 'hec00;
3254
    'hca5 : romdata_int = 'h673c; // Line descriptor for 2_3
3255
    'hca6 : romdata_int = 'h328;
3256
    'hca7 : romdata_int = 'h648;
3257
    'hca8 : romdata_int = 'h80a;
3258
    'hca9 : romdata_int = 'h10f5;
3259
    'hcaa : romdata_int = 'h7600;
3260
    'hcab : romdata_int = 'hcb1e;
3261
    'hcac : romdata_int = 'hdc17;
3262
    'hcad : romdata_int = 'hee00;
3263
    'hcae : romdata_int = 'hb2d; // Line descriptor for 3_4
3264
    'hcaf : romdata_int = 'h0;
3265
    'hcb0 : romdata_int = 'h534;
3266
    'hcb1 : romdata_int = 'h69b;
3267
    'hcb2 : romdata_int = 'hcd7;
3268
    'hcb3 : romdata_int = 'h14c4;
3269
    'hcb4 : romdata_int = 'h3e61;
3270
    'hcb5 : romdata_int = 'h5a00;
3271
    'hcb6 : romdata_int = 'h76bb;
3272
    'hcb7 : romdata_int = 'h8a3b;
3273
    'hcb8 : romdata_int = 'hb400;
3274
    'hcb9 : romdata_int = 'hbcad;
3275
    'hcba : romdata_int = 'hfd1a;
3276
    'hcbb : romdata_int = 'h4b2d; // Line descriptor for 3_4
3277
    'hcbc : romdata_int = 'h200;
3278
    'hcbd : romdata_int = 'h651;
3279
    'hcbe : romdata_int = 'he09;
3280
    'hcbf : romdata_int = 'h122e;
3281
    'hcc0 : romdata_int = 'h4f3e;
3282
    'hcc1 : romdata_int = 'h50b5;
3283
    'hcc2 : romdata_int = 'h5c00;
3284
    'hcc3 : romdata_int = 'h7d0c;
3285
    'hcc4 : romdata_int = 'ha4d1;
3286
    'hcc5 : romdata_int = 'hb600;
3287
    'hcc6 : romdata_int = 'he34b;
3288
    'hcc7 : romdata_int = 'h102b4;
3289
    'hcc8 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3290
    'hcc9 : romdata_int = 'h296;
3291
    'hcca : romdata_int = 'h400;
3292
    'hccb : romdata_int = 'h50f;
3293
    'hccc : romdata_int = 'hf52;
3294
    'hccd : romdata_int = 'h3c46;
3295
    'hcce : romdata_int = 'h515b;
3296
    'hccf : romdata_int = 'h5e00;
3297
    'hcd0 : romdata_int = 'h66b0;
3298
    'hcd1 : romdata_int = 'hab47;
3299
    'hcd2 : romdata_int = 'hb49d;
3300
    'hcd3 : romdata_int = 'hb800;
3301
    'hcd4 : romdata_int = 'he54c;
3302
    'hcd5 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3303
    'hcd6 : romdata_int = 'h600;
3304
    'hcd7 : romdata_int = 'ha8c;
3305
    'hcd8 : romdata_int = 'he58;
3306
    'hcd9 : romdata_int = 'h100c;
3307
    'hcda : romdata_int = 'h1135;
3308
    'hcdb : romdata_int = 'h2323;
3309
    'hcdc : romdata_int = 'h6000;
3310
    'hcdd : romdata_int = 'h6106;
3311
    'hcde : romdata_int = 'h649b;
3312
    'hcdf : romdata_int = 'hba00;
3313
    'hce0 : romdata_int = 'hf6a5;
3314
    'hce1 : romdata_int = 'h10c40;
3315
    'hce2 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3316
    'hce3 : romdata_int = 'h541;
3317
    'hce4 : romdata_int = 'h800;
3318
    'hce5 : romdata_int = 'h149d;
3319
    'hce6 : romdata_int = 'h175b;
3320
    'hce7 : romdata_int = 'h3abf;
3321
    'hce8 : romdata_int = 'h4829;
3322
    'hce9 : romdata_int = 'h5a1c;
3323
    'hcea : romdata_int = 'h5d18;
3324
    'hceb : romdata_int = 'h6200;
3325
    'hcec : romdata_int = 'hbc00;
3326
    'hced : romdata_int = 'hc814;
3327
    'hcee : romdata_int = 'hd6bd;
3328
    'hcef : romdata_int = 'h4b2d; // Line descriptor for 3_4
3329
    'hcf0 : romdata_int = 'ha1f;
3330
    'hcf1 : romdata_int = 'ha00;
3331
    'hcf2 : romdata_int = 'hb16;
3332
    'hcf3 : romdata_int = 'h12a1;
3333
    'hcf4 : romdata_int = 'h3c4d;
3334
    'hcf5 : romdata_int = 'h4131;
3335
    'hcf6 : romdata_int = 'h6400;
3336
    'hcf7 : romdata_int = 'h9364;
3337
    'hcf8 : romdata_int = 'h9b0d;
3338
    'hcf9 : romdata_int = 'hbc1f;
3339
    'hcfa : romdata_int = 'hbe00;
3340
    'hcfb : romdata_int = 'h10750;
3341
    'hcfc : romdata_int = 'h4b2d; // Line descriptor for 3_4
3342
    'hcfd : romdata_int = 'hb3b;
3343
    'hcfe : romdata_int = 'hc00;
3344
    'hcff : romdata_int = 'h10cc;
3345
    'hd00 : romdata_int = 'h120c;
3346
    'hd01 : romdata_int = 'h18c2;
3347
    'hd02 : romdata_int = 'h212a;
3348
    'hd03 : romdata_int = 'h6046;
3349
    'hd04 : romdata_int = 'h6600;
3350
    'hd05 : romdata_int = 'hb28a;
3351
    'hd06 : romdata_int = 'hc000;
3352
    'hd07 : romdata_int = 'hc46b;
3353
    'hd08 : romdata_int = 'hd43d;
3354
    'hd09 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3355
    'hd0a : romdata_int = 'he00;
3356
    'hd0b : romdata_int = 'h1319;
3357
    'hd0c : romdata_int = 'h1a96;
3358
    'hd0d : romdata_int = 'h1cb5;
3359
    'hd0e : romdata_int = 'h26a0;
3360
    'hd0f : romdata_int = 'h433b;
3361
    'hd10 : romdata_int = 'h5e3c;
3362
    'hd11 : romdata_int = 'h6800;
3363
    'hd12 : romdata_int = 'h8457;
3364
    'hd13 : romdata_int = 'hc200;
3365
    'hd14 : romdata_int = 'hd8c6;
3366
    'hd15 : romdata_int = 'hf72b;
3367
    'hd16 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3368
    'hd17 : romdata_int = 'h824;
3369
    'hd18 : romdata_int = 'h1000;
3370
    'hd19 : romdata_int = 'h104f;
3371
    'hd1a : romdata_int = 'h14e0;
3372
    'hd1b : romdata_int = 'h1948;
3373
    'hd1c : romdata_int = 'h2a62;
3374
    'hd1d : romdata_int = 'h6a00;
3375
    'hd1e : romdata_int = 'h6e27;
3376
    'hd1f : romdata_int = 'hb2ab;
3377
    'hd20 : romdata_int = 'hc0ab;
3378
    'hd21 : romdata_int = 'hc400;
3379
    'hd22 : romdata_int = 'he892;
3380
    'hd23 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3381
    'hd24 : romdata_int = 'h333;
3382
    'hd25 : romdata_int = 'h48e;
3383
    'hd26 : romdata_int = 'h8f0;
3384
    'hd27 : romdata_int = 'hc5a;
3385
    'hd28 : romdata_int = 'h1200;
3386
    'hd29 : romdata_int = 'h3b1d;
3387
    'hd2a : romdata_int = 'h6c00;
3388
    'hd2b : romdata_int = 'h720c;
3389
    'hd2c : romdata_int = 'hae1a;
3390
    'hd2d : romdata_int = 'hc600;
3391
    'hd2e : romdata_int = 'hd90f;
3392
    'hd2f : romdata_int = 'hde59;
3393
    'hd30 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3394
    'hd31 : romdata_int = 'h354;
3395
    'hd32 : romdata_int = 'h86c;
3396
    'hd33 : romdata_int = 'h1293;
3397
    'hd34 : romdata_int = 'h1400;
3398
    'hd35 : romdata_int = 'h1851;
3399
    'hd36 : romdata_int = 'h1a0b;
3400
    'hd37 : romdata_int = 'h6e00;
3401
    'hd38 : romdata_int = 'h7eb3;
3402
    'hd39 : romdata_int = 'h9d58;
3403
    'hd3a : romdata_int = 'hc709;
3404
    'hd3b : romdata_int = 'hc800;
3405
    'hd3c : romdata_int = 'hc828;
3406
    'hd3d : romdata_int = 'h4b2d; // Line descriptor for 3_4
3407
    'hd3e : romdata_int = 'h12;
3408
    'hd3f : romdata_int = 'ha78;
3409
    'hd40 : romdata_int = 'h1080;
3410
    'hd41 : romdata_int = 'h1600;
3411
    'hd42 : romdata_int = 'h1f0a;
3412
    'hd43 : romdata_int = 'h2ea8;
3413
    'hd44 : romdata_int = 'h7000;
3414
    'hd45 : romdata_int = 'h7026;
3415
    'hd46 : romdata_int = 'h7314;
3416
    'hd47 : romdata_int = 'hc239;
3417
    'hd48 : romdata_int = 'hca00;
3418
    'hd49 : romdata_int = 'hf510;
3419
    'hd4a : romdata_int = 'h4b2d; // Line descriptor for 3_4
3420
    'hd4b : romdata_int = 'h48;
3421
    'hd4c : romdata_int = 'h4b1;
3422
    'hd4d : romdata_int = 'h1800;
3423
    'hd4e : romdata_int = 'h186d;
3424
    'hd4f : romdata_int = 'h1a3a;
3425
    'hd50 : romdata_int = 'h3f35;
3426
    'hd51 : romdata_int = 'h694f;
3427
    'hd52 : romdata_int = 'h7200;
3428
    'hd53 : romdata_int = 'h98d5;
3429
    'hd54 : romdata_int = 'hb44d;
3430
    'hd55 : romdata_int = 'hcc00;
3431
    'hd56 : romdata_int = 'hd0ae;
3432
    'hd57 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3433
    'hd58 : romdata_int = 'h8ce;
3434
    'hd59 : romdata_int = 'h14cd;
3435
    'hd5a : romdata_int = 'h162a;
3436
    'hd5b : romdata_int = 'h1a00;
3437
    'hd5c : romdata_int = 'h1ac6;
3438
    'hd5d : romdata_int = 'h52f9;
3439
    'hd5e : romdata_int = 'h6a70;
3440
    'hd5f : romdata_int = 'h7400;
3441
    'hd60 : romdata_int = 'h8827;
3442
    'hd61 : romdata_int = 'hce00;
3443
    'hd62 : romdata_int = 'hd667;
3444
    'hd63 : romdata_int = 'hdd18;
3445
    'hd64 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3446
    'hd65 : romdata_int = 'h706;
3447
    'hd66 : romdata_int = 'h8d7;
3448
    'hd67 : romdata_int = 'h1962;
3449
    'hd68 : romdata_int = 'h1b26;
3450
    'hd69 : romdata_int = 'h1c00;
3451
    'hd6a : romdata_int = 'h2c3b;
3452
    'hd6b : romdata_int = 'h6765;
3453
    'hd6c : romdata_int = 'h7600;
3454
    'hd6d : romdata_int = 'h7c1f;
3455
    'hd6e : romdata_int = 'hd000;
3456
    'hd6f : romdata_int = 'hd287;
3457
    'hd70 : romdata_int = 'he8be;
3458
    'hd71 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3459
    'hd72 : romdata_int = 'h81b;
3460
    'hd73 : romdata_int = 'hf0b;
3461
    'hd74 : romdata_int = 'h1492;
3462
    'hd75 : romdata_int = 'h1e00;
3463
    'hd76 : romdata_int = 'h2541;
3464
    'hd77 : romdata_int = 'h3488;
3465
    'hd78 : romdata_int = 'h7800;
3466
    'hd79 : romdata_int = 'h8882;
3467
    'hd7a : romdata_int = 'had55;
3468
    'hd7b : romdata_int = 'hcc4f;
3469
    'hd7c : romdata_int = 'hd200;
3470
    'hd7d : romdata_int = 'hfa38;
3471
    'hd7e : romdata_int = 'h4b2d; // Line descriptor for 3_4
3472
    'hd7f : romdata_int = 'h6a2;
3473
    'hd80 : romdata_int = 'hc67;
3474
    'hd81 : romdata_int = 'hcc4;
3475
    'hd82 : romdata_int = 'h12d9;
3476
    'hd83 : romdata_int = 'h2000;
3477
    'hd84 : romdata_int = 'h4675;
3478
    'hd85 : romdata_int = 'h7a00;
3479
    'hd86 : romdata_int = 'ha8bd;
3480
    'hd87 : romdata_int = 'haabc;
3481
    'hd88 : romdata_int = 'hb657;
3482
    'hd89 : romdata_int = 'hd400;
3483
    'hd8a : romdata_int = 'he49e;
3484
    'hd8b : romdata_int = 'h4b2d; // Line descriptor for 3_4
3485
    'hd8c : romdata_int = 'h550;
3486
    'hd8d : romdata_int = 'h727;
3487
    'hd8e : romdata_int = 'h73e;
3488
    'hd8f : romdata_int = 'h2200;
3489
    'hd90 : romdata_int = 'h38c0;
3490
    'hd91 : romdata_int = 'h4eaa;
3491
    'hd92 : romdata_int = 'h7c00;
3492
    'hd93 : romdata_int = 'h8b4c;
3493
    'hd94 : romdata_int = 'hb034;
3494
    'hd95 : romdata_int = 'hccfb;
3495
    'hd96 : romdata_int = 'hd600;
3496
    'hd97 : romdata_int = 'h102e2;
3497
    'hd98 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3498
    'hd99 : romdata_int = 'hce4;
3499
    'hd9a : romdata_int = 'hce6;
3500
    'hd9b : romdata_int = 'hecb;
3501
    'hd9c : romdata_int = 'h16f0;
3502
    'hd9d : romdata_int = 'h1d41;
3503
    'hd9e : romdata_int = 'h2400;
3504
    'hd9f : romdata_int = 'h6538;
3505
    'hda0 : romdata_int = 'h78d9;
3506
    'hda1 : romdata_int = 'h7e00;
3507
    'hda2 : romdata_int = 'hd800;
3508
    'hda3 : romdata_int = 'hef34;
3509
    'hda4 : romdata_int = 'hf2ff;
3510
    'hda5 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3511
    'hda6 : romdata_int = 'h2fc;
3512
    'hda7 : romdata_int = 'h944;
3513
    'hda8 : romdata_int = 'h182f;
3514
    'hda9 : romdata_int = 'h1b5a;
3515
    'hdaa : romdata_int = 'h2600;
3516
    'hdab : romdata_int = 'h474e;
3517
    'hdac : romdata_int = 'h8000;
3518
    'hdad : romdata_int = 'h9b56;
3519
    'hdae : romdata_int = 'haeb0;
3520
    'hdaf : romdata_int = 'hc037;
3521
    'hdb0 : romdata_int = 'hda00;
3522
    'hdb1 : romdata_int = 'hfecf;
3523
    'hdb2 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3524
    'hdb3 : romdata_int = 'hb35;
3525
    'hdb4 : romdata_int = 'h1013;
3526
    'hdb5 : romdata_int = 'h14f6;
3527
    'hdb6 : romdata_int = 'h2800;
3528
    'hdb7 : romdata_int = 'h36a6;
3529
    'hdb8 : romdata_int = 'h4c87;
3530
    'hdb9 : romdata_int = 'h5cdd;
3531
    'hdba : romdata_int = 'h8200;
3532
    'hdbb : romdata_int = 'h9467;
3533
    'hdbc : romdata_int = 'hdc00;
3534
    'hdbd : romdata_int = 'he226;
3535
    'hdbe : romdata_int = 'h10941;
3536
    'hdbf : romdata_int = 'h4b2d; // Line descriptor for 3_4
3537
    'hdc0 : romdata_int = 'h22c;
3538
    'hdc1 : romdata_int = 'h48c;
3539
    'hdc2 : romdata_int = 'h8a9;
3540
    'hdc3 : romdata_int = 'h16f5;
3541
    'hdc4 : romdata_int = 'h2099;
3542
    'hdc5 : romdata_int = 'h2a00;
3543
    'hdc6 : romdata_int = 'h70b4;
3544
    'hdc7 : romdata_int = 'h8400;
3545
    'hdc8 : romdata_int = 'ha46a;
3546
    'hdc9 : romdata_int = 'hcf4b;
3547
    'hdca : romdata_int = 'hdc62;
3548
    'hdcb : romdata_int = 'hde00;
3549
    'hdcc : romdata_int = 'h4b2d; // Line descriptor for 3_4
3550
    'hdcd : romdata_int = 'h3c;
3551
    'hdce : romdata_int = 'h52e;
3552
    'hdcf : romdata_int = 'h8fd;
3553
    'hdd0 : romdata_int = 'h1085;
3554
    'hdd1 : romdata_int = 'h12a2;
3555
    'hdd2 : romdata_int = 'h2c00;
3556
    'hdd3 : romdata_int = 'h8600;
3557
    'hdd4 : romdata_int = 'ha2b7;
3558
    'hdd5 : romdata_int = 'ha83e;
3559
    'hdd6 : romdata_int = 'hc55c;
3560
    'hdd7 : romdata_int = 'he000;
3561
    'hdd8 : romdata_int = 'hf107;
3562
    'hdd9 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3563
    'hdda : romdata_int = 'h74;
3564
    'hddb : romdata_int = 'haa3;
3565
    'hddc : romdata_int = 'hcae;
3566
    'hddd : romdata_int = 'h2e00;
3567
    'hdde : romdata_int = 'h2ec8;
3568
    'hddf : romdata_int = 'h4818;
3569
    'hde0 : romdata_int = 'h6f57;
3570
    'hde1 : romdata_int = 'h80bb;
3571
    'hde2 : romdata_int = 'h8800;
3572
    'hde3 : romdata_int = 'hd457;
3573
    'hde4 : romdata_int = 'hdb14;
3574
    'hde5 : romdata_int = 'he200;
3575
    'hde6 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3576
    'hde7 : romdata_int = 'h129;
3577
    'hde8 : romdata_int = 'h124b;
3578
    'hde9 : romdata_int = 'h1748;
3579
    'hdea : romdata_int = 'h3000;
3580
    'hdeb : romdata_int = 'h3743;
3581
    'hdec : romdata_int = 'h4cd6;
3582
    'hded : romdata_int = 'h8a00;
3583
    'hdee : romdata_int = 'h9cc2;
3584
    'hdef : romdata_int = 'ha159;
3585
    'hdf0 : romdata_int = 'he400;
3586
    'hdf1 : romdata_int = 'hf923;
3587
    'hdf2 : romdata_int = 'h10af7;
3588
    'hdf3 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3589
    'hdf4 : romdata_int = 'h108a;
3590
    'hdf5 : romdata_int = 'h126a;
3591
    'hdf6 : romdata_int = 'h1700;
3592
    'hdf7 : romdata_int = 'h2d36;
3593
    'hdf8 : romdata_int = 'h3200;
3594
    'hdf9 : romdata_int = 'h552f;
3595
    'hdfa : romdata_int = 'h8c00;
3596
    'hdfb : romdata_int = 'h9f54;
3597
    'hdfc : romdata_int = 'ha242;
3598
    'hdfd : romdata_int = 'hb8aa;
3599
    'hdfe : romdata_int = 'he600;
3600
    'hdff : romdata_int = 'hed1c;
3601
    'he00 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3602
    'he01 : romdata_int = 'haf;
3603
    'he02 : romdata_int = 'hf0f;
3604
    'he03 : romdata_int = 'h1c43;
3605
    'he04 : romdata_int = 'h1c91;
3606
    'he05 : romdata_int = 'h32a1;
3607
    'he06 : romdata_int = 'h3400;
3608
    'he07 : romdata_int = 'h749d;
3609
    'he08 : romdata_int = 'h8655;
3610
    'he09 : romdata_int = 'h8e00;
3611
    'he0a : romdata_int = 'he800;
3612
    'he0b : romdata_int = 'hf0f8;
3613
    'he0c : romdata_int = 'h10155;
3614
    'he0d : romdata_int = 'h4b2d; // Line descriptor for 3_4
3615
    'he0e : romdata_int = 'hcb1;
3616
    'he0f : romdata_int = 'h113b;
3617
    'he10 : romdata_int = 'h126d;
3618
    'he11 : romdata_int = 'h2849;
3619
    'he12 : romdata_int = 'h3600;
3620
    'he13 : romdata_int = 'h4524;
3621
    'he14 : romdata_int = 'h5eb6;
3622
    'he15 : romdata_int = 'h9000;
3623
    'he16 : romdata_int = 'h92a9;
3624
    'he17 : romdata_int = 'hc2af;
3625
    'he18 : romdata_int = 'hea00;
3626
    'he19 : romdata_int = 'hec06;
3627
    'he1a : romdata_int = 'h4b2d; // Line descriptor for 3_4
3628
    'he1b : romdata_int = 'h149f;
3629
    'he1c : romdata_int = 'h1cc2;
3630
    'he1d : romdata_int = 'h1d5d;
3631
    'he1e : romdata_int = 'h329e;
3632
    'he1f : romdata_int = 'h3800;
3633
    'he20 : romdata_int = 'h56be;
3634
    'he21 : romdata_int = 'h8e5f;
3635
    'he22 : romdata_int = 'h9200;
3636
    'he23 : romdata_int = 'h9723;
3637
    'he24 : romdata_int = 'he0bc;
3638
    'he25 : romdata_int = 'hec00;
3639
    'he26 : romdata_int = 'h10137;
3640
    'he27 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3641
    'he28 : romdata_int = 'h37;
3642
    'he29 : romdata_int = 'ha7e;
3643
    'he2a : romdata_int = 'h1602;
3644
    'he2b : romdata_int = 'h1820;
3645
    'he2c : romdata_int = 'h242c;
3646
    'he2d : romdata_int = 'h3a00;
3647
    'he2e : romdata_int = 'h6221;
3648
    'he2f : romdata_int = 'h9400;
3649
    'he30 : romdata_int = 'ha040;
3650
    'he31 : romdata_int = 'hb91e;
3651
    'he32 : romdata_int = 'hd0aa;
3652
    'he33 : romdata_int = 'hee00;
3653
    'he34 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3654
    'he35 : romdata_int = 'h622;
3655
    'he36 : romdata_int = 'hc4b;
3656
    'he37 : romdata_int = 'hc84;
3657
    'he38 : romdata_int = 'h14a5;
3658
    'he39 : romdata_int = 'h2857;
3659
    'he3a : romdata_int = 'h3c00;
3660
    'he3b : romdata_int = 'h8339;
3661
    'he3c : romdata_int = 'h8c7b;
3662
    'he3d : romdata_int = 'h9600;
3663
    'he3e : romdata_int = 'hb733;
3664
    'he3f : romdata_int = 'hf000;
3665
    'he40 : romdata_int = 'hfc8f;
3666
    'he41 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3667
    'he42 : romdata_int = 'h144;
3668
    'he43 : romdata_int = 'h952;
3669
    'he44 : romdata_int = 'he62;
3670
    'he45 : romdata_int = 'h1a5d;
3671
    'he46 : romdata_int = 'h3e00;
3672
    'he47 : romdata_int = 'h583b;
3673
    'he48 : romdata_int = 'h761f;
3674
    'he49 : romdata_int = 'h8f18;
3675
    'he4a : romdata_int = 'h9800;
3676
    'he4b : romdata_int = 'hc6e7;
3677
    'he4c : romdata_int = 'hf200;
3678
    'he4d : romdata_int = 'h10d42;
3679
    'he4e : romdata_int = 'h4b2d; // Line descriptor for 3_4
3680
    'he4f : romdata_int = 'h2fd;
3681
    'he50 : romdata_int = 'h4ae;
3682
    'he51 : romdata_int = 'h654;
3683
    'he52 : romdata_int = 'h104d;
3684
    'he53 : romdata_int = 'h2328;
3685
    'he54 : romdata_int = 'h4000;
3686
    'he55 : romdata_int = 'h5a16;
3687
    'he56 : romdata_int = 'h8c8c;
3688
    'he57 : romdata_int = 'h9a00;
3689
    'he58 : romdata_int = 'hee94;
3690
    'he59 : romdata_int = 'hf400;
3691
    'he5a : romdata_int = 'hfe98;
3692
    'he5b : romdata_int = 'h4b2d; // Line descriptor for 3_4
3693
    'he5c : romdata_int = 'h14e1;
3694
    'he5d : romdata_int = 'h1cf8;
3695
    'he5e : romdata_int = 'h1d4f;
3696
    'he5f : romdata_int = 'h4200;
3697
    'he60 : romdata_int = 'h4a2d;
3698
    'he61 : romdata_int = 'h548a;
3699
    'he62 : romdata_int = 'h690d;
3700
    'he63 : romdata_int = 'h821c;
3701
    'he64 : romdata_int = 'h9c00;
3702
    'he65 : romdata_int = 'hbecc;
3703
    'he66 : romdata_int = 'hf600;
3704
    'he67 : romdata_int = 'h1046b;
3705
    'he68 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3706
    'he69 : romdata_int = 'h52a;
3707
    'he6a : romdata_int = 'hea5;
3708
    'he6b : romdata_int = 'h1960;
3709
    'he6c : romdata_int = 'h4400;
3710
    'he6d : romdata_int = 'h4436;
3711
    'he6e : romdata_int = 'h4a17;
3712
    'he6f : romdata_int = 'h745b;
3713
    'he70 : romdata_int = 'h7afb;
3714
    'he71 : romdata_int = 'h9e00;
3715
    'he72 : romdata_int = 'hd322;
3716
    'he73 : romdata_int = 'hda7f;
3717
    'he74 : romdata_int = 'hf800;
3718
    'he75 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3719
    'he76 : romdata_int = 'h680;
3720
    'he77 : romdata_int = 'ha23;
3721
    'he78 : romdata_int = 'h1604;
3722
    'he79 : romdata_int = 'h1d1d;
3723
    'he7a : romdata_int = 'h352f;
3724
    'he7b : romdata_int = 'h4600;
3725
    'he7c : romdata_int = 'h6a8f;
3726
    'he7d : romdata_int = 'ha000;
3727
    'he7e : romdata_int = 'hacad;
3728
    'he7f : romdata_int = 'he133;
3729
    'he80 : romdata_int = 'hf566;
3730
    'he81 : romdata_int = 'hfa00;
3731
    'he82 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3732
    'he83 : romdata_int = 'h6ae;
3733
    'he84 : romdata_int = 'hb60;
3734
    'he85 : romdata_int = 'hd54;
3735
    'he86 : romdata_int = 'h1ab8;
3736
    'he87 : romdata_int = 'h3836;
3737
    'he88 : romdata_int = 'h4800;
3738
    'he89 : romdata_int = 'h8533;
3739
    'he8a : romdata_int = 'h9ea4;
3740
    'he8b : romdata_int = 'ha200;
3741
    'he8c : romdata_int = 'hea08;
3742
    'he8d : romdata_int = 'hf942;
3743
    'he8e : romdata_int = 'hfc00;
3744
    'he8f : romdata_int = 'h4b2d; // Line descriptor for 3_4
3745
    'he90 : romdata_int = 'h31b;
3746
    'he91 : romdata_int = 'h1247;
3747
    'he92 : romdata_int = 'h183b;
3748
    'he93 : romdata_int = 'h1ab0;
3749
    'he94 : romdata_int = 'h1c6b;
3750
    'he95 : romdata_int = 'h4a00;
3751
    'he96 : romdata_int = 'h6d4b;
3752
    'he97 : romdata_int = 'h9936;
3753
    'he98 : romdata_int = 'ha400;
3754
    'he99 : romdata_int = 'hcafb;
3755
    'he9a : romdata_int = 'hfe00;
3756
    'he9b : romdata_int = 'h10a72;
3757
    'he9c : romdata_int = 'h4b2d; // Line descriptor for 3_4
3758
    'he9d : romdata_int = 'hf19;
3759
    'he9e : romdata_int = 'h140a;
3760
    'he9f : romdata_int = 'h1d2f;
3761
    'hea0 : romdata_int = 'h2a8a;
3762
    'hea1 : romdata_int = 'h42f3;
3763
    'hea2 : romdata_int = 'h4c00;
3764
    'hea3 : romdata_int = 'h8040;
3765
    'hea4 : romdata_int = 'ha600;
3766
    'hea5 : romdata_int = 'hb0c0;
3767
    'hea6 : romdata_int = 'hfaf9;
3768
    'hea7 : romdata_int = 'h10000;
3769
    'hea8 : romdata_int = 'h10509;
3770
    'hea9 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3771
    'heaa : romdata_int = 'ha3;
3772
    'heab : romdata_int = 'he2e;
3773
    'heac : romdata_int = 'h1523;
3774
    'head : romdata_int = 'h265f;
3775
    'heae : romdata_int = 'h4085;
3776
    'heaf : romdata_int = 'h4e00;
3777
    'heb0 : romdata_int = 'h6207;
3778
    'heb1 : romdata_int = 'ha66b;
3779
    'heb2 : romdata_int = 'ha800;
3780
    'heb3 : romdata_int = 'hcacd;
3781
    'heb4 : romdata_int = 'hde1d;
3782
    'heb5 : romdata_int = 'h10200;
3783
    'heb6 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3784
    'heb7 : romdata_int = 'h8d;
3785
    'heb8 : romdata_int = 'hf8;
3786
    'heb9 : romdata_int = 'h16eb;
3787
    'heba : romdata_int = 'h189e;
3788
    'hebb : romdata_int = 'h1a54;
3789
    'hebc : romdata_int = 'h5000;
3790
    'hebd : romdata_int = 'h7a8b;
3791
    'hebe : romdata_int = 'h8658;
3792
    'hebf : romdata_int = 'haa00;
3793
    'hec0 : romdata_int = 'hce3a;
3794
    'hec1 : romdata_int = 'hf33f;
3795
    'hec2 : romdata_int = 'h10400;
3796
    'hec3 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3797
    'hec4 : romdata_int = 'had1;
3798
    'hec5 : romdata_int = 'h10ba;
3799
    'hec6 : romdata_int = 'h16b0;
3800
    'hec7 : romdata_int = 'h1a11;
3801
    'hec8 : romdata_int = 'h5200;
3802
    'hec9 : romdata_int = 'h5904;
3803
    'heca : romdata_int = 'h910f;
3804
    'hecb : romdata_int = 'ha6f6;
3805
    'hecc : romdata_int = 'hac00;
3806
    'hecd : romdata_int = 'hbe82;
3807
    'hece : romdata_int = 'h10600;
3808
    'hecf : romdata_int = 'h108b2;
3809
    'hed0 : romdata_int = 'h4b2d; // Line descriptor for 3_4
3810
    'hed1 : romdata_int = 'h207;
3811
    'hed2 : romdata_int = 'h212;
3812
    'hed3 : romdata_int = 'h6c2;
3813
    'hed4 : romdata_int = 'h3041;
3814
    'hed5 : romdata_int = 'h313a;
3815
    'hed6 : romdata_int = 'h5400;
3816
    'hed7 : romdata_int = 'h6d19;
3817
    'hed8 : romdata_int = 'h7ec9;
3818
    'hed9 : romdata_int = 'hae00;
3819
    'heda : romdata_int = 'hbab5;
3820
    'hedb : romdata_int = 'hea6d;
3821
    'hedc : romdata_int = 'h10800;
3822
    'hedd : romdata_int = 'h4b2d; // Line descriptor for 3_4
3823
    'hede : romdata_int = 'h23b;
3824
    'hedf : romdata_int = 'h425;
3825
    'hee0 : romdata_int = 'h82e;
3826
    'hee1 : romdata_int = 'h16a7;
3827
    'hee2 : romdata_int = 'h5265;
3828
    'hee3 : romdata_int = 'h5600;
3829
    'hee4 : romdata_int = 'h9102;
3830
    'hee5 : romdata_int = 'h9539;
3831
    'hee6 : romdata_int = 'hb000;
3832
    'hee7 : romdata_int = 'he6c4;
3833
    'hee8 : romdata_int = 'he74c;
3834
    'hee9 : romdata_int = 'h10a00;
3835
    'heea : romdata_int = 'h6b2d; // Line descriptor for 3_4
3836
    'heeb : romdata_int = 'h2a0;
3837
    'heec : romdata_int = 'he0d;
3838
    'heed : romdata_int = 'h1871;
3839
    'heee : romdata_int = 'h1e45;
3840
    'heef : romdata_int = 'h56ae;
3841
    'hef0 : romdata_int = 'h5800;
3842
    'hef1 : romdata_int = 'h78a8;
3843
    'hef2 : romdata_int = 'h96d4;
3844
    'hef3 : romdata_int = 'hb200;
3845
    'hef4 : romdata_int = 'hba26;
3846
    'hef5 : romdata_int = 'h10687;
3847
    'hef6 : romdata_int = 'h10c00;
3848
    'hef7 : romdata_int = 'h4f24; // Line descriptor for 4_5
3849
    'hef8 : romdata_int = 'h0;
3850
    'hef9 : romdata_int = 'hc68;
3851
    'hefa : romdata_int = 'h1945;
3852
    'hefb : romdata_int = 'h1eb5;
3853
    'hefc : romdata_int = 'h1f3f;
3854
    'hefd : romdata_int = 'h22f3;
3855
    'hefe : romdata_int = 'h3279;
3856
    'heff : romdata_int = 'h4800;
3857
    'hf00 : romdata_int = 'h4e3d;
3858
    'hf01 : romdata_int = 'h6248;
3859
    'hf02 : romdata_int = 'h9000;
3860
    'hf03 : romdata_int = 'ha222;
3861
    'hf04 : romdata_int = 'hacc8;
3862
    'hf05 : romdata_int = 'hd800;
3863
    'hf06 : romdata_int = 'hfae7;
3864
    'hf07 : romdata_int = 'h11702;
3865
    'hf08 : romdata_int = 'h4f24; // Line descriptor for 4_5
3866
    'hf09 : romdata_int = 'h200;
3867
    'hf0a : romdata_int = 'h1207;
3868
    'hf0b : romdata_int = 'h14f2;
3869
    'hf0c : romdata_int = 'h1c60;
3870
    'hf0d : romdata_int = 'h233b;
3871
    'hf0e : romdata_int = 'h374a;
3872
    'hf0f : romdata_int = 'h4321;
3873
    'hf10 : romdata_int = 'h4a00;
3874
    'hf11 : romdata_int = 'h5329;
3875
    'hf12 : romdata_int = 'h7e61;
3876
    'hf13 : romdata_int = 'h9200;
3877
    'hf14 : romdata_int = 'hc017;
3878
    'hf15 : romdata_int = 'hc567;
3879
    'hf16 : romdata_int = 'hda00;
3880
    'hf17 : romdata_int = 'hed58;
3881
    'hf18 : romdata_int = 'hf2d3;
3882
    'hf19 : romdata_int = 'h4f24; // Line descriptor for 4_5
3883
    'hf1a : romdata_int = 'h400;
3884
    'hf1b : romdata_int = 'ha5f;
3885
    'hf1c : romdata_int = 'he1f;
3886
    'hf1d : romdata_int = 'h14da;
3887
    'hf1e : romdata_int = 'h186b;
3888
    'hf1f : romdata_int = 'h261c;
3889
    'hf20 : romdata_int = 'h2b55;
3890
    'hf21 : romdata_int = 'h4c00;
3891
    'hf22 : romdata_int = 'h614c;
3892
    'hf23 : romdata_int = 'h863a;
3893
    'hf24 : romdata_int = 'h9400;
3894
    'hf25 : romdata_int = 'h9c9c;
3895
    'hf26 : romdata_int = 'hd4e2;
3896
    'hf27 : romdata_int = 'hdc00;
3897
    'hf28 : romdata_int = 'hed4d;
3898
    'hf29 : romdata_int = 'hfab7;
3899
    'hf2a : romdata_int = 'h4f24; // Line descriptor for 4_5
3900
    'hf2b : romdata_int = 'h600;
3901
    'hf2c : romdata_int = 'ha1c;
3902
    'hf2d : romdata_int = 'ha3b;
3903
    'hf2e : romdata_int = 'h1241;
3904
    'hf2f : romdata_int = 'h16fe;
3905
    'hf30 : romdata_int = 'h1e4c;
3906
    'hf31 : romdata_int = 'h251a;
3907
    'hf32 : romdata_int = 'h4e00;
3908
    'hf33 : romdata_int = 'h50d1;
3909
    'hf34 : romdata_int = 'h74d8;
3910
    'hf35 : romdata_int = 'h9089;
3911
    'hf36 : romdata_int = 'h9600;
3912
    'hf37 : romdata_int = 'hbcb8;
3913
    'hf38 : romdata_int = 'hde00;
3914
    'hf39 : romdata_int = 'h10007;
3915
    'hf3a : romdata_int = 'h102a2;
3916
    'hf3b : romdata_int = 'h4f24; // Line descriptor for 4_5
3917
    'hf3c : romdata_int = 'h800;
3918
    'hf3d : romdata_int = 'h94d;
3919
    'hf3e : romdata_int = 'hc1a;
3920
    'hf3f : romdata_int = 'heb4;
3921
    'hf40 : romdata_int = 'h1eb8;
3922
    'hf41 : romdata_int = 'h3c3e;
3923
    'hf42 : romdata_int = 'h3eb0;
3924
    'hf43 : romdata_int = 'h5000;
3925
    'hf44 : romdata_int = 'h62ce;
3926
    'hf45 : romdata_int = 'h6ca7;
3927
    'hf46 : romdata_int = 'h9800;
3928
    'hf47 : romdata_int = 'ha85a;
3929
    'hf48 : romdata_int = 'hd011;
3930
    'hf49 : romdata_int = 'he000;
3931
    'hf4a : romdata_int = 'hf403;
3932
    'hf4b : romdata_int = 'h10d0e;
3933
    'hf4c : romdata_int = 'h4f24; // Line descriptor for 4_5
3934
    'hf4d : romdata_int = 'h4;
3935
    'hf4e : romdata_int = 'ha00;
3936
    'hf4f : romdata_int = 'ha71;
3937
    'hf50 : romdata_int = 'h127d;
3938
    'hf51 : romdata_int = 'h1b3e;
3939
    'hf52 : romdata_int = 'h20e5;
3940
    'hf53 : romdata_int = 'h2c7a;
3941
    'hf54 : romdata_int = 'h5200;
3942
    'hf55 : romdata_int = 'h58df;
3943
    'hf56 : romdata_int = 'h6613;
3944
    'hf57 : romdata_int = 'h9a00;
3945
    'hf58 : romdata_int = 'hc23d;
3946
    'hf59 : romdata_int = 'hd30f;
3947
    'hf5a : romdata_int = 'he200;
3948
    'hf5b : romdata_int = 'h10003;
3949
    'hf5c : romdata_int = 'h102ac;
3950
    'hf5d : romdata_int = 'h4f24; // Line descriptor for 4_5
3951
    'hf5e : romdata_int = 'hc00;
3952
    'hf5f : romdata_int = 'heda;
3953
    'hf60 : romdata_int = 'h10ea;
3954
    'hf61 : romdata_int = 'h163f;
3955
    'hf62 : romdata_int = 'h16a8;
3956
    'hf63 : romdata_int = 'h1a45;
3957
    'hf64 : romdata_int = 'h3646;
3958
    'hf65 : romdata_int = 'h5400;
3959
    'hf66 : romdata_int = 'h544b;
3960
    'hf67 : romdata_int = 'h726f;
3961
    'hf68 : romdata_int = 'h9c00;
3962
    'hf69 : romdata_int = 'hb03d;
3963
    'hf6a : romdata_int = 'hb156;
3964
    'hf6b : romdata_int = 'he400;
3965
    'hf6c : romdata_int = 'h10898;
3966
    'hf6d : romdata_int = 'h10f40;
3967
    'hf6e : romdata_int = 'h4f24; // Line descriptor for 4_5
3968
    'hf6f : romdata_int = 'h94f;
3969
    'hf70 : romdata_int = 'hc4e;
3970
    'hf71 : romdata_int = 'he00;
3971
    'hf72 : romdata_int = 'h14bc;
3972
    'hf73 : romdata_int = 'h14e3;
3973
    'hf74 : romdata_int = 'h1caf;
3974
    'hf75 : romdata_int = 'h2723;
3975
    'hf76 : romdata_int = 'h5600;
3976
    'hf77 : romdata_int = 'h5a7b;
3977
    'hf78 : romdata_int = 'h7e44;
3978
    'hf79 : romdata_int = 'h9275;
3979
    'hf7a : romdata_int = 'h9e00;
3980
    'hf7b : romdata_int = 'hac5b;
3981
    'hf7c : romdata_int = 'he600;
3982
    'hf7d : romdata_int = 'he8ce;
3983
    'hf7e : romdata_int = 'h11108;
3984
    'hf7f : romdata_int = 'h4f24; // Line descriptor for 4_5
3985
    'hf80 : romdata_int = 'he1;
3986
    'hf81 : romdata_int = 'h1000;
3987
    'hf82 : romdata_int = 'h1106;
3988
    'hf83 : romdata_int = 'h122b;
3989
    'hf84 : romdata_int = 'h14f8;
3990
    'hf85 : romdata_int = 'h1c69;
3991
    'hf86 : romdata_int = 'h28f7;
3992
    'hf87 : romdata_int = 'h5800;
3993
    'hf88 : romdata_int = 'h6824;
3994
    'hf89 : romdata_int = 'h8cec;
3995
    'hf8a : romdata_int = 'h9af9;
3996
    'hf8b : romdata_int = 'ha000;
3997
    'hf8c : romdata_int = 'hc8a5;
3998
    'hf8d : romdata_int = 'he060;
3999
    'hf8e : romdata_int = 'he800;
4000
    'hf8f : romdata_int = 'h10a3b;
4001
    'hf90 : romdata_int = 'h4f24; // Line descriptor for 4_5
4002
    'hf91 : romdata_int = 'hec;
4003
    'hf92 : romdata_int = 'h31e;
4004
    'hf93 : romdata_int = 'h49a;
4005
    'hf94 : romdata_int = 'h523;
4006
    'hf95 : romdata_int = 'h1200;
4007
    'hf96 : romdata_int = 'h1aa2;
4008
    'hf97 : romdata_int = 'h34c6;
4009
    'hf98 : romdata_int = 'h490b;
4010
    'hf99 : romdata_int = 'h5a00;
4011
    'hf9a : romdata_int = 'h786e;
4012
    'hf9b : romdata_int = 'ha200;
4013
    'hf9c : romdata_int = 'hc6f3;
4014
    'hf9d : romdata_int = 'hd520;
4015
    'hf9e : romdata_int = 'hea00;
4016
    'hf9f : romdata_int = 'hf6dd;
4017
    'hfa0 : romdata_int = 'h11e9a;
4018
    'hfa1 : romdata_int = 'h4f24; // Line descriptor for 4_5
4019
    'hfa2 : romdata_int = 'h65a;
4020
    'hfa3 : romdata_int = 'h8ab;
4021
    'hfa4 : romdata_int = 'h1400;
4022
    'hfa5 : romdata_int = 'h183c;
4023
    'hfa6 : romdata_int = 'h186e;
4024
    'hfa7 : romdata_int = 'h1b4c;
4025
    'hfa8 : romdata_int = 'h3308;
4026
    'hfa9 : romdata_int = 'h5c00;
4027
    'hfaa : romdata_int = 'h5eb6;
4028
    'hfab : romdata_int = 'h82b7;
4029
    'hfac : romdata_int = 'ha2f6;
4030
    'hfad : romdata_int = 'ha400;
4031
    'hfae : romdata_int = 'hb91e;
4032
    'hfaf : romdata_int = 'hec00;
4033
    'hfb0 : romdata_int = 'hf11a;
4034
    'hfb1 : romdata_int = 'hf428;
4035
    'hfb2 : romdata_int = 'h4f24; // Line descriptor for 4_5
4036
    'hfb3 : romdata_int = 'h1072;
4037
    'hfb4 : romdata_int = 'h10ee;
4038
    'hfb5 : romdata_int = 'h1600;
4039
    'hfb6 : romdata_int = 'h1966;
4040
    'hfb7 : romdata_int = 'h1e0f;
4041
    'hfb8 : romdata_int = 'h1f50;
4042
    'hfb9 : romdata_int = 'h4344;
4043
    'hfba : romdata_int = 'h5e00;
4044
    'hfbb : romdata_int = 'h707b;
4045
    'hfbc : romdata_int = 'h833f;
4046
    'hfbd : romdata_int = 'h9697;
4047
    'hfbe : romdata_int = 'ha600;
4048
    'hfbf : romdata_int = 'hb50a;
4049
    'hfc0 : romdata_int = 'hee00;
4050
    'hfc1 : romdata_int = 'hfcfa;
4051
    'hfc2 : romdata_int = 'h11156;
4052
    'hfc3 : romdata_int = 'h4f24; // Line descriptor for 4_5
4053
    'hfc4 : romdata_int = 'hb;
4054
    'hfc5 : romdata_int = 'h164;
4055
    'hfc6 : romdata_int = 'h44e;
4056
    'hfc7 : romdata_int = 'h142f;
4057
    'hfc8 : romdata_int = 'h16f5;
4058
    'hfc9 : romdata_int = 'h1800;
4059
    'hfca : romdata_int = 'h3cf2;
4060
    'hfcb : romdata_int = 'h5d00;
4061
    'hfcc : romdata_int = 'h6000;
4062
    'hfcd : romdata_int = 'h7a79;
4063
    'hfce : romdata_int = 'ha0f6;
4064
    'hfcf : romdata_int = 'ha800;
4065
    'hfd0 : romdata_int = 'haaa2;
4066
    'hfd1 : romdata_int = 'he2c1;
4067
    'hfd2 : romdata_int = 'hf000;
4068
    'hfd3 : romdata_int = 'h10c26;
4069
    'hfd4 : romdata_int = 'h4f24; // Line descriptor for 4_5
4070
    'hfd5 : romdata_int = 'h60e;
4071
    'hfd6 : romdata_int = 'h88f;
4072
    'hfd7 : romdata_int = 'he7e;
4073
    'hfd8 : romdata_int = 'h129d;
4074
    'hfd9 : romdata_int = 'h1a00;
4075
    'hfda : romdata_int = 'h2032;
4076
    'hfdb : romdata_int = 'h3b2f;
4077
    'hfdc : romdata_int = 'h6200;
4078
    'hfdd : romdata_int = 'h870b;
4079
    'hfde : romdata_int = 'h8c33;
4080
    'hfdf : romdata_int = 'h9859;
4081
    'hfe0 : romdata_int = 'ha67a;
4082
    'hfe1 : romdata_int = 'haa00;
4083
    'hfe2 : romdata_int = 'hd8ca;
4084
    'hfe3 : romdata_int = 'hf200;
4085
    'hfe4 : romdata_int = 'h10661;
4086
    'hfe5 : romdata_int = 'h4f24; // Line descriptor for 4_5
4087
    'hfe6 : romdata_int = 'he23;
4088
    'hfe7 : romdata_int = 'h174b;
4089
    'hfe8 : romdata_int = 'h1c00;
4090
    'hfe9 : romdata_int = 'h1ee2;
4091
    'hfea : romdata_int = 'h223a;
4092
    'hfeb : romdata_int = 'h2cc4;
4093
    'hfec : romdata_int = 'h40ee;
4094
    'hfed : romdata_int = 'h6400;
4095
    'hfee : romdata_int = 'h7c94;
4096
    'hfef : romdata_int = 'h8a63;
4097
    'hff0 : romdata_int = 'ha607;
4098
    'hff1 : romdata_int = 'hac00;
4099
    'hff2 : romdata_int = 'haf39;
4100
    'hff3 : romdata_int = 'hf400;
4101
    'hff4 : romdata_int = 'hff05;
4102
    'hff5 : romdata_int = 'h10566;
4103
    'hff6 : romdata_int = 'h4f24; // Line descriptor for 4_5
4104
    'hff7 : romdata_int = 'h683;
4105
    'hff8 : romdata_int = 'hac5;
4106
    'hff9 : romdata_int = 'he70;
4107
    'hffa : romdata_int = 'hf46;
4108
    'hffb : romdata_int = 'h16fc;
4109
    'hffc : romdata_int = 'h18cb;
4110
    'hffd : romdata_int = 'h1e00;
4111
    'hffe : romdata_int = 'h6600;
4112
    'hfff : romdata_int = 'h7309;
4113
    'h1000: romdata_int = 'h8883;
4114
    'h1001: romdata_int = 'hae00;
4115
    'h1002: romdata_int = 'hc63b;
4116
    'h1003: romdata_int = 'hd6a1;
4117
    'h1004: romdata_int = 'hdee7;
4118
    'h1005: romdata_int = 'hf225;
4119
    'h1006: romdata_int = 'hf600;
4120
    'h1007: romdata_int = 'h4f24; // Line descriptor for 4_5
4121
    'h1008: romdata_int = 'h137;
4122
    'h1009: romdata_int = 'ha67;
4123
    'h100a: romdata_int = 'hd2c;
4124
    'h100b: romdata_int = 'h1745;
4125
    'h100c: romdata_int = 'h1e47;
4126
    'h100d: romdata_int = 'h2000;
4127
    'h100e: romdata_int = 'h22ba;
4128
    'h100f: romdata_int = 'h6800;
4129
    'h1010: romdata_int = 'h7caf;
4130
    'h1011: romdata_int = 'h8a48;
4131
    'h1012: romdata_int = 'h9d5a;
4132
    'h1013: romdata_int = 'hb000;
4133
    'h1014: romdata_int = 'hc94d;
4134
    'h1015: romdata_int = 'hf800;
4135
    'h1016: romdata_int = 'h11a07;
4136
    'h1017: romdata_int = 'h11ec5;
4137
    'h1018: romdata_int = 'h4f24; // Line descriptor for 4_5
4138
    'h1019: romdata_int = 'h26b;
4139
    'h101a: romdata_int = 'h261;
4140
    'h101b: romdata_int = 'h291;
4141
    'h101c: romdata_int = 'hd41;
4142
    'h101d: romdata_int = 'h100b;
4143
    'h101e: romdata_int = 'h2200;
4144
    'h101f: romdata_int = 'h2298;
4145
    'h1020: romdata_int = 'h5c73;
4146
    'h1021: romdata_int = 'h6a00;
4147
    'h1022: romdata_int = 'h8840;
4148
    'h1023: romdata_int = 'h9e28;
4149
    'h1024: romdata_int = 'hb200;
4150
    'h1025: romdata_int = 'hc10d;
4151
    'h1026: romdata_int = 'hf8cd;
4152
    'h1027: romdata_int = 'hf8f8;
4153
    'h1028: romdata_int = 'hfa00;
4154
    'h1029: romdata_int = 'h4f24; // Line descriptor for 4_5
4155
    'h102a: romdata_int = 'h116;
4156
    'h102b: romdata_int = 'h1158;
4157
    'h102c: romdata_int = 'h12fd;
4158
    'h102d: romdata_int = 'h18e5;
4159
    'h102e: romdata_int = 'h20b5;
4160
    'h102f: romdata_int = 'h2400;
4161
    'h1030: romdata_int = 'h4658;
4162
    'h1031: romdata_int = 'h6b4b;
4163
    'h1032: romdata_int = 'h6c00;
4164
    'h1033: romdata_int = 'h7629;
4165
    'h1034: romdata_int = 'hb400;
4166
    'h1035: romdata_int = 'hbb33;
4167
    'h1036: romdata_int = 'hd0c6;
4168
    'h1037: romdata_int = 'hf6eb;
4169
    'h1038: romdata_int = 'hfc00;
4170
    'h1039: romdata_int = 'hfeab;
4171
    'h103a: romdata_int = 'h4f24; // Line descriptor for 4_5
4172
    'h103b: romdata_int = 'h4d8;
4173
    'h103c: romdata_int = 'h825;
4174
    'h103d: romdata_int = 'ha9f;
4175
    'h103e: romdata_int = 'h1697;
4176
    'h103f: romdata_int = 'h2080;
4177
    'h1040: romdata_int = 'h2600;
4178
    'h1041: romdata_int = 'h2b1c;
4179
    'h1042: romdata_int = 'h4c87;
4180
    'h1043: romdata_int = 'h6d09;
4181
    'h1044: romdata_int = 'h6e00;
4182
    'h1045: romdata_int = 'ha4e8;
4183
    'h1046: romdata_int = 'hb600;
4184
    'h1047: romdata_int = 'hc232;
4185
    'h1048: romdata_int = 'hdcfa;
4186
    'h1049: romdata_int = 'hef0e;
4187
    'h104a: romdata_int = 'hfe00;
4188
    'h104b: romdata_int = 'h4f24; // Line descriptor for 4_5
4189
    'h104c: romdata_int = 'h452;
4190
    'h104d: romdata_int = 'h550;
4191
    'h104e: romdata_int = 'h677;
4192
    'h104f: romdata_int = 'h1cdd;
4193
    'h1050: romdata_int = 'h2251;
4194
    'h1051: romdata_int = 'h2800;
4195
    'h1052: romdata_int = 'h3a54;
4196
    'h1053: romdata_int = 'h4e09;
4197
    'h1054: romdata_int = 'h6819;
4198
    'h1055: romdata_int = 'h7000;
4199
    'h1056: romdata_int = 'h9e23;
4200
    'h1057: romdata_int = 'hb800;
4201
    'h1058: romdata_int = 'hd6d3;
4202
    'h1059: romdata_int = 'heae1;
4203
    'h105a: romdata_int = 'h10000;
4204
    'h105b: romdata_int = 'h11655;
4205
    'h105c: romdata_int = 'h4f24; // Line descriptor for 4_5
4206
    'h105d: romdata_int = 'h328;
4207
    'h105e: romdata_int = 'h1b37;
4208
    'h105f: romdata_int = 'h1ca6;
4209
    'h1060: romdata_int = 'h1cd6;
4210
    'h1061: romdata_int = 'h2359;
4211
    'h1062: romdata_int = 'h2a00;
4212
    'h1063: romdata_int = 'h351d;
4213
    'h1064: romdata_int = 'h7074;
4214
    'h1065: romdata_int = 'h7200;
4215
    'h1066: romdata_int = 'h792a;
4216
    'h1067: romdata_int = 'h9a12;
4217
    'h1068: romdata_int = 'hb94e;
4218
    'h1069: romdata_int = 'hba00;
4219
    'h106a: romdata_int = 'he89b;
4220
    'h106b: romdata_int = 'h10200;
4221
    'h106c: romdata_int = 'h10679;
4222
    'h106d: romdata_int = 'h4f24; // Line descriptor for 4_5
4223
    'h106e: romdata_int = 'h20d;
4224
    'h106f: romdata_int = 'hd08;
4225
    'h1070: romdata_int = 'hd3f;
4226
    'h1071: romdata_int = 'h20a8;
4227
    'h1072: romdata_int = 'h2067;
4228
    'h1073: romdata_int = 'h2149;
4229
    'h1074: romdata_int = 'h2c00;
4230
    'h1075: romdata_int = 'h56a6;
4231
    'h1076: romdata_int = 'h5a86;
4232
    'h1077: romdata_int = 'h7400;
4233
    'h1078: romdata_int = 'h96c1;
4234
    'h1079: romdata_int = 'h989c;
4235
    'h107a: romdata_int = 'hbc00;
4236
    'h107b: romdata_int = 'hde7a;
4237
    'h107c: romdata_int = 'h10400;
4238
    'h107d: romdata_int = 'h114fa;
4239
    'h107e: romdata_int = 'h4f24; // Line descriptor for 4_5
4240
    'h107f: romdata_int = 'h841;
4241
    'h1080: romdata_int = 'h952;
4242
    'h1081: romdata_int = 'hf3f;
4243
    'h1082: romdata_int = 'he90;
4244
    'h1083: romdata_int = 'hf63;
4245
    'h1084: romdata_int = 'h2e00;
4246
    'h1085: romdata_int = 'h44b3;
4247
    'h1086: romdata_int = 'h54bb;
4248
    'h1087: romdata_int = 'h7600;
4249
    'h1088: romdata_int = 'h7a60;
4250
    'h1089: romdata_int = 'hb27d;
4251
    'h108a: romdata_int = 'hbe00;
4252
    'h108b: romdata_int = 'hca58;
4253
    'h108c: romdata_int = 'he238;
4254
    'h108d: romdata_int = 'h10600;
4255
    'h108e: romdata_int = 'h112e4;
4256
    'h108f: romdata_int = 'h4f24; // Line descriptor for 4_5
4257
    'h1090: romdata_int = 'hb0;
4258
    'h1091: romdata_int = 'h40f;
4259
    'h1092: romdata_int = 'habd;
4260
    'h1093: romdata_int = 'hb32;
4261
    'h1094: romdata_int = 'h1678;
4262
    'h1095: romdata_int = 'h1f11;
4263
    'h1096: romdata_int = 'h3000;
4264
    'h1097: romdata_int = 'h650b;
4265
    'h1098: romdata_int = 'h6e08;
4266
    'h1099: romdata_int = 'h7800;
4267
    'h109a: romdata_int = 'h9530;
4268
    'h109b: romdata_int = 'hc000;
4269
    'h109c: romdata_int = 'hcec5;
4270
    'h109d: romdata_int = 'hdad7;
4271
    'h109e: romdata_int = 'h10800;
4272
    'h109f: romdata_int = 'h11230;
4273
    'h10a0: romdata_int = 'h4f24; // Line descriptor for 4_5
4274
    'h10a1: romdata_int = 'h718;
4275
    'h10a2: romdata_int = 'h1a16;
4276
    'h10a3: romdata_int = 'h1c7d;
4277
    'h10a4: romdata_int = 'h22d9;
4278
    'h10a5: romdata_int = 'h234c;
4279
    'h10a6: romdata_int = 'h3200;
4280
    'h10a7: romdata_int = 'h3836;
4281
    'h10a8: romdata_int = 'h7a00;
4282
    'h10a9: romdata_int = 'h80cd;
4283
    'h10aa: romdata_int = 'h8151;
4284
    'h10ab: romdata_int = 'hbf12;
4285
    'h10ac: romdata_int = 'hc200;
4286
    'h10ad: romdata_int = 'hcd2f;
4287
    'h10ae: romdata_int = 'hea87;
4288
    'h10af: romdata_int = 'h10a00;
4289
    'h10b0: romdata_int = 'h10a7b;
4290
    'h10b1: romdata_int = 'h4f24; // Line descriptor for 4_5
4291
    'h10b2: romdata_int = 'h267;
4292
    'h10b3: romdata_int = 'hc28;
4293
    'h10b4: romdata_int = 'h1273;
4294
    'h10b5: romdata_int = 'h14e4;
4295
    'h10b6: romdata_int = 'h1a7a;
4296
    'h10b7: romdata_int = 'h291c;
4297
    'h10b8: romdata_int = 'h3400;
4298
    'h10b9: romdata_int = 'h50b8;
4299
    'h10ba: romdata_int = 'h64f4;
4300
    'h10bb: romdata_int = 'h7c00;
4301
    'h10bc: romdata_int = 'h94df;
4302
    'h10bd: romdata_int = 'hae29;
4303
    'h10be: romdata_int = 'hc400;
4304
    'h10bf: romdata_int = 'he613;
4305
    'h10c0: romdata_int = 'hef16;
4306
    'h10c1: romdata_int = 'h10c00;
4307
    'h10c2: romdata_int = 'h4f24; // Line descriptor for 4_5
4308
    'h10c3: romdata_int = 'hd38;
4309
    'h10c4: romdata_int = 'h107b;
4310
    'h10c5: romdata_int = 'h1282;
4311
    'h10c6: romdata_int = 'h14f6;
4312
    'h10c7: romdata_int = 'h1a28;
4313
    'h10c8: romdata_int = 'h1e6f;
4314
    'h10c9: romdata_int = 'h3600;
4315
    'h10ca: romdata_int = 'h5932;
4316
    'h10cb: romdata_int = 'h7e00;
4317
    'h10cc: romdata_int = 'h847b;
4318
    'h10cd: romdata_int = 'haaa7;
4319
    'h10ce: romdata_int = 'hb4c2;
4320
    'h10cf: romdata_int = 'hc600;
4321
    'h10d0: romdata_int = 'h10e00;
4322
    'h10d1: romdata_int = 'h118d0;
4323
    'h10d2: romdata_int = 'h11c6f;
4324
    'h10d3: romdata_int = 'h4f24; // Line descriptor for 4_5
4325
    'h10d4: romdata_int = 'h613;
4326
    'h10d5: romdata_int = 'h6d0;
4327
    'h10d6: romdata_int = 'hb2a;
4328
    'h10d7: romdata_int = 'hc21;
4329
    'h10d8: romdata_int = 'h22d4;
4330
    'h10d9: romdata_int = 'h314c;
4331
    'h10da: romdata_int = 'h3800;
4332
    'h10db: romdata_int = 'h5243;
4333
    'h10dc: romdata_int = 'h7553;
4334
    'h10dd: romdata_int = 'h8000;
4335
    'h10de: romdata_int = 'hba8d;
4336
    'h10df: romdata_int = 'hc800;
4337
    'h10e0: romdata_int = 'hcaf1;
4338
    'h10e1: romdata_int = 'hf00b;
4339
    'h10e2: romdata_int = 'h1046a;
4340
    'h10e3: romdata_int = 'h11000;
4341
    'h10e4: romdata_int = 'h4f24; // Line descriptor for 4_5
4342
    'h10e5: romdata_int = 'h4c4;
4343
    'h10e6: romdata_int = 'h1907;
4344
    'h10e7: romdata_int = 'h1a0e;
4345
    'h10e8: romdata_int = 'h1c2a;
4346
    'h10e9: romdata_int = 'h20db;
4347
    'h10ea: romdata_int = 'h393e;
4348
    'h10eb: romdata_int = 'h3a00;
4349
    'h10ec: romdata_int = 'h6a22;
4350
    'h10ed: romdata_int = 'h6ed2;
4351
    'h10ee: romdata_int = 'h8200;
4352
    'h10ef: romdata_int = 'hb21a;
4353
    'h10f0: romdata_int = 'hc4b9;
4354
    'h10f1: romdata_int = 'hca00;
4355
    'h10f2: romdata_int = 'h11200;
4356
    'h10f3: romdata_int = 'h1140d;
4357
    'h10f4: romdata_int = 'h11d56;
4358
    'h10f5: romdata_int = 'h4f24; // Line descriptor for 4_5
4359
    'h10f6: romdata_int = 'h28a;
4360
    'h10f7: romdata_int = 'h4f2;
4361
    'h10f8: romdata_int = 'h103e;
4362
    'h10f9: romdata_int = 'h1c52;
4363
    'h10fa: romdata_int = 'h2155;
4364
    'h10fb: romdata_int = 'h3c00;
4365
    'h10fc: romdata_int = 'h4752;
4366
    'h10fd: romdata_int = 'h5f54;
4367
    'h10fe: romdata_int = 'h6696;
4368
    'h10ff: romdata_int = 'h8400;
4369
    'h1100: romdata_int = 'hbcbf;
4370
    'h1101: romdata_int = 'hcc00;
4371
    'h1102: romdata_int = 'hd28e;
4372
    'h1103: romdata_int = 'he011;
4373
    'h1104: romdata_int = 'he415;
4374
    'h1105: romdata_int = 'h11400;
4375
    'h1106: romdata_int = 'h4f24; // Line descriptor for 4_5
4376
    'h1107: romdata_int = 'h9a;
4377
    'h1108: romdata_int = 'h15c;
4378
    'h1109: romdata_int = 'h10db;
4379
    'h110a: romdata_int = 'h1a91;
4380
    'h110b: romdata_int = 'h2f54;
4381
    'h110c: romdata_int = 'h3e00;
4382
    'h110d: romdata_int = 'h4562;
4383
    'h110e: romdata_int = 'h4a9b;
4384
    'h110f: romdata_int = 'h8600;
4385
    'h1110: romdata_int = 'h8e6f;
4386
    'h1111: romdata_int = 'h909c;
4387
    'h1112: romdata_int = 'ha06b;
4388
    'h1113: romdata_int = 'hce00;
4389
    'h1114: romdata_int = 'hdb2d;
4390
    'h1115: romdata_int = 'hdd56;
4391
    'h1116: romdata_int = 'h11600;
4392
    'h1117: romdata_int = 'h4f24; // Line descriptor for 4_5
4393
    'h1118: romdata_int = 'h255;
4394
    'h1119: romdata_int = 'h10c3;
4395
    'h111a: romdata_int = 'h1738;
4396
    'h111b: romdata_int = 'h1d08;
4397
    'h111c: romdata_int = 'h30bd;
4398
    'h111d: romdata_int = 'h3efc;
4399
    'h111e: romdata_int = 'h4000;
4400
    'h111f: romdata_int = 'h568e;
4401
    'h1120: romdata_int = 'h60d2;
4402
    'h1121: romdata_int = 'h8800;
4403
    'h1122: romdata_int = 'ha4a5;
4404
    'h1123: romdata_int = 'hb646;
4405
    'h1124: romdata_int = 'hd000;
4406
    'h1125: romdata_int = 'h108f0;
4407
    'h1126: romdata_int = 'h11800;
4408
    'h1127: romdata_int = 'h11a4a;
4409
    'h1128: romdata_int = 'h4f24; // Line descriptor for 4_5
4410
    'h1129: romdata_int = 'h43b;
4411
    'h112a: romdata_int = 'h64e;
4412
    'h112b: romdata_int = 'h80e;
4413
    'h112c: romdata_int = 'h126b;
4414
    'h112d: romdata_int = 'h191e;
4415
    'h112e: romdata_int = 'h2030;
4416
    'h112f: romdata_int = 'h4200;
4417
    'h1130: romdata_int = 'h493d;
4418
    'h1131: romdata_int = 'h8547;
4419
    'h1132: romdata_int = 'h8a00;
4420
    'h1133: romdata_int = 'hbe3a;
4421
    'h1134: romdata_int = 'hceae;
4422
    'h1135: romdata_int = 'hd200;
4423
    'h1136: romdata_int = 'he453;
4424
    'h1137: romdata_int = 'h11844;
4425
    'h1138: romdata_int = 'h11a00;
4426
    'h1139: romdata_int = 'h4f24; // Line descriptor for 4_5
4427
    'h113a: romdata_int = 'h6e7;
4428
    'h113b: romdata_int = 'h875;
4429
    'h113c: romdata_int = 'h1267;
4430
    'h113d: romdata_int = 'h14dc;
4431
    'h113e: romdata_int = 'h2474;
4432
    'h113f: romdata_int = 'h40cb;
4433
    'h1140: romdata_int = 'h4400;
4434
    'h1141: romdata_int = 'h4acf;
4435
    'h1142: romdata_int = 'h76f3;
4436
    'h1143: romdata_int = 'h8c00;
4437
    'h1144: romdata_int = 'h9233;
4438
    'h1145: romdata_int = 'ha866;
4439
    'h1146: romdata_int = 'hd400;
4440
    'h1147: romdata_int = 'he639;
4441
    'h1148: romdata_int = 'hfcbd;
4442
    'h1149: romdata_int = 'h11c00;
4443
    'h114a: romdata_int = 'h6f24; // Line descriptor for 4_5
4444
    'h114b: romdata_int = 'h2d5;
4445
    'h114c: romdata_int = 'h64a;
4446
    'h114d: romdata_int = 'h938;
4447
    'h114e: romdata_int = 'h14ef;
4448
    'h114f: romdata_int = 'h1839;
4449
    'h1150: romdata_int = 'h2e6b;
4450
    'h1151: romdata_int = 'h4600;
4451
    'h1152: romdata_int = 'h4c77;
4452
    'h1153: romdata_int = 'h8e00;
4453
    'h1154: romdata_int = 'h8e1f;
4454
    'h1155: romdata_int = 'hb638;
4455
    'h1156: romdata_int = 'hcce2;
4456
    'h1157: romdata_int = 'hd600;
4457
    'h1158: romdata_int = 'hd911;
4458
    'h1159: romdata_int = 'h10f50;
4459
    'h115a: romdata_int = 'h11e00;
4460
    'h115b: romdata_int = 'h531e; // Line descriptor for 5_6
4461
    'h115c: romdata_int = 'h0;
4462
    'h115d: romdata_int = 'h738;
4463
    'h115e: romdata_int = 'hd4c;
4464
    'h115f: romdata_int = 'h1056;
4465
    'h1160: romdata_int = 'h1280;
4466
    'h1161: romdata_int = 'h1a8e;
4467
    'h1162: romdata_int = 'h1c13;
4468
    'h1163: romdata_int = 'h1c78;
4469
    'h1164: romdata_int = 'h3c00;
4470
    'h1165: romdata_int = 'h5058;
4471
    'h1166: romdata_int = 'h6d18;
4472
    'h1167: romdata_int = 'h7800;
4473
    'h1168: romdata_int = 'h9118;
4474
    'h1169: romdata_int = 'h9c15;
4475
    'h116a: romdata_int = 'hb400;
4476
    'h116b: romdata_int = 'hc26c;
4477
    'h116c: romdata_int = 'heca1;
4478
    'h116d: romdata_int = 'hf000;
4479
    'h116e: romdata_int = 'h1106f;
4480
    'h116f: romdata_int = 'h11897;
4481
    'h1170: romdata_int = 'h531e; // Line descriptor for 5_6
4482
    'h1171: romdata_int = 'h200;
4483
    'h1172: romdata_int = 'h2c9;
4484
    'h1173: romdata_int = 'h467;
4485
    'h1174: romdata_int = 'h4bd;
4486
    'h1175: romdata_int = 'hf07;
4487
    'h1176: romdata_int = 'h1adf;
4488
    'h1177: romdata_int = 'h1efe;
4489
    'h1178: romdata_int = 'h271c;
4490
    'h1179: romdata_int = 'h3e00;
4491
    'h117a: romdata_int = 'h3e58;
4492
    'h117b: romdata_int = 'h5d11;
4493
    'h117c: romdata_int = 'h7a00;
4494
    'h117d: romdata_int = 'h86ab;
4495
    'h117e: romdata_int = 'h8ad3;
4496
    'h117f: romdata_int = 'hb600;
4497
    'h1180: romdata_int = 'hc8bf;
4498
    'h1181: romdata_int = 'hcacc;
4499
    'h1182: romdata_int = 'hf200;
4500
    'h1183: romdata_int = 'h1062b;
4501
    'h1184: romdata_int = 'h12847;
4502
    'h1185: romdata_int = 'h531e; // Line descriptor for 5_6
4503
    'h1186: romdata_int = 'h61;
4504
    'h1187: romdata_int = 'h400;
4505
    'h1188: romdata_int = 'ha87;
4506
    'h1189: romdata_int = 'h12db;
4507
    'h118a: romdata_int = 'h18f5;
4508
    'h118b: romdata_int = 'h193e;
4509
    'h118c: romdata_int = 'h36a9;
4510
    'h118d: romdata_int = 'h3876;
4511
    'h118e: romdata_int = 'h4000;
4512
    'h118f: romdata_int = 'h54ba;
4513
    'h1190: romdata_int = 'h70a8;
4514
    'h1191: romdata_int = 'h7c00;
4515
    'h1192: romdata_int = 'h829b;
4516
    'h1193: romdata_int = 'h8cde;
4517
    'h1194: romdata_int = 'hb678;
4518
    'h1195: romdata_int = 'hb800;
4519
    'h1196: romdata_int = 'he80c;
4520
    'h1197: romdata_int = 'hf400;
4521
    'h1198: romdata_int = 'h104cc;
4522
    'h1199: romdata_int = 'h10961;
4523
    'h119a: romdata_int = 'h531e; // Line descriptor for 5_6
4524
    'h119b: romdata_int = 'he0;
4525
    'h119c: romdata_int = 'h600;
4526
    'h119d: romdata_int = 'h948;
4527
    'h119e: romdata_int = 'ha7b;
4528
    'h119f: romdata_int = 'h1060;
4529
    'h11a0: romdata_int = 'h1761;
4530
    'h11a1: romdata_int = 'h1962;
4531
    'h11a2: romdata_int = 'h22f3;
4532
    'h11a3: romdata_int = 'h4200;
4533
    'h11a4: romdata_int = 'h44fa;
4534
    'h11a5: romdata_int = 'h74f0;
4535
    'h11a6: romdata_int = 'h7e00;
4536
    'h11a7: romdata_int = 'h80d9;
4537
    'h11a8: romdata_int = 'h9e0c;
4538
    'h11a9: romdata_int = 'hb469;
4539
    'h11aa: romdata_int = 'hba00;
4540
    'h11ab: romdata_int = 'hde19;
4541
    'h11ac: romdata_int = 'hf600;
4542
    'h11ad: romdata_int = 'h11360;
4543
    'h11ae: romdata_int = 'h1289c;
4544
    'h11af: romdata_int = 'h531e; // Line descriptor for 5_6
4545
    'h11b0: romdata_int = 'h800;
4546
    'h11b1: romdata_int = 'h905;
4547
    'h11b2: romdata_int = 'haee;
4548
    'h11b3: romdata_int = 'h1022;
4549
    'h11b4: romdata_int = 'h1245;
4550
    'h11b5: romdata_int = 'h1267;
4551
    'h11b6: romdata_int = 'h160d;
4552
    'h11b7: romdata_int = 'h1ed2;
4553
    'h11b8: romdata_int = 'h4400;
4554
    'h11b9: romdata_int = 'h4e84;
4555
    'h11ba: romdata_int = 'h6e0d;
4556
    'h11bb: romdata_int = 'h8000;
4557
    'h11bc: romdata_int = 'h9a5f;
4558
    'h11bd: romdata_int = 'haeca;
4559
    'h11be: romdata_int = 'hbc00;
4560
    'h11bf: romdata_int = 'hdb2e;
4561
    'h11c0: romdata_int = 'he257;
4562
    'h11c1: romdata_int = 'hf800;
4563
    'h11c2: romdata_int = 'h1128e;
4564
    'h11c3: romdata_int = 'h124d6;
4565
    'h11c4: romdata_int = 'h531e; // Line descriptor for 5_6
4566
    'h11c5: romdata_int = 'h4a6;
4567
    'h11c6: romdata_int = 'h553;
4568
    'h11c7: romdata_int = 'h8a1;
4569
    'h11c8: romdata_int = 'ha00;
4570
    'h11c9: romdata_int = 'he9e;
4571
    'h11ca: romdata_int = 'h1049;
4572
    'h11cb: romdata_int = 'h1818;
4573
    'h11cc: romdata_int = 'h1a7d;
4574
    'h11cd: romdata_int = 'h4600;
4575
    'h11ce: romdata_int = 'h606e;
4576
    'h11cf: romdata_int = 'h6f13;
4577
    'h11d0: romdata_int = 'h7f61;
4578
    'h11d1: romdata_int = 'h8200;
4579
    'h11d2: romdata_int = 'hb260;
4580
    'h11d3: romdata_int = 'hb687;
4581
    'h11d4: romdata_int = 'hbe00;
4582
    'h11d5: romdata_int = 'he645;
4583
    'h11d6: romdata_int = 'hfa00;
4584
    'h11d7: romdata_int = 'h1092a;
4585
    'h11d8: romdata_int = 'h1267d;
4586
    'h11d9: romdata_int = 'h531e; // Line descriptor for 5_6
4587
    'h11da: romdata_int = 'h6b;
4588
    'h11db: romdata_int = 'h74d;
4589
    'h11dc: romdata_int = 'hc00;
4590
    'h11dd: romdata_int = 'hd15;
4591
    'h11de: romdata_int = 'he8b;
4592
    'h11df: romdata_int = 'h127e;
4593
    'h11e0: romdata_int = 'h1679;
4594
    'h11e1: romdata_int = 'h22e2;
4595
    'h11e2: romdata_int = 'h4800;
4596
    'h11e3: romdata_int = 'h4b35;
4597
    'h11e4: romdata_int = 'h5a96;
4598
    'h11e5: romdata_int = 'h8400;
4599
    'h11e6: romdata_int = 'h9331;
4600
    'h11e7: romdata_int = 'hac97;
4601
    'h11e8: romdata_int = 'hc000;
4602
    'h11e9: romdata_int = 'hd70b;
4603
    'h11ea: romdata_int = 'hdef4;
4604
    'h11eb: romdata_int = 'hfc00;
4605
    'h11ec: romdata_int = 'h10342;
4606
    'h11ed: romdata_int = 'h11e46;
4607
    'h11ee: romdata_int = 'h531e; // Line descriptor for 5_6
4608
    'h11ef: romdata_int = 'h2d5;
4609
    'h11f0: romdata_int = 'h4f2;
4610
    'h11f1: romdata_int = 'h68f;
4611
    'h11f2: romdata_int = 'he34;
4612
    'h11f3: romdata_int = 'he00;
4613
    'h11f4: romdata_int = 'he39;
4614
    'h11f5: romdata_int = 'h2d3d;
4615
    'h11f6: romdata_int = 'h3623;
4616
    'h11f7: romdata_int = 'h4a00;
4617
    'h11f8: romdata_int = 'h5ecd;
4618
    'h11f9: romdata_int = 'h6825;
4619
    'h11fa: romdata_int = 'h7c02;
4620
    'h11fb: romdata_int = 'h8600;
4621
    'h11fc: romdata_int = 'ha6c0;
4622
    'h11fd: romdata_int = 'hb839;
4623
    'h11fe: romdata_int = 'hc200;
4624
    'h11ff: romdata_int = 'he89c;
4625
    'h1200: romdata_int = 'hf105;
4626
    'h1201: romdata_int = 'hf67a;
4627
    'h1202: romdata_int = 'hfe00;
4628
    'h1203: romdata_int = 'h531e; // Line descriptor for 5_6
4629
    'h1204: romdata_int = 'h32b;
4630
    'h1205: romdata_int = 'h6ab;
4631
    'h1206: romdata_int = 'h74f;
4632
    'h1207: romdata_int = 'h1000;
4633
    'h1208: romdata_int = 'h18fc;
4634
    'h1209: romdata_int = 'h1b25;
4635
    'h120a: romdata_int = 'h2d56;
4636
    'h120b: romdata_int = 'h3908;
4637
    'h120c: romdata_int = 'h4c00;
4638
    'h120d: romdata_int = 'h4e0b;
4639
    'h120e: romdata_int = 'h70d3;
4640
    'h120f: romdata_int = 'h7cf1;
4641
    'h1210: romdata_int = 'h8800;
4642
    'h1211: romdata_int = 'h8f55;
4643
    'h1212: romdata_int = 'hbd07;
4644
    'h1213: romdata_int = 'hc400;
4645
    'h1214: romdata_int = 'hc906;
4646
    'h1215: romdata_int = 'h10000;
4647
    'h1216: romdata_int = 'h104ec;
4648
    'h1217: romdata_int = 'h11ae3;
4649
    'h1218: romdata_int = 'h531e; // Line descriptor for 5_6
4650
    'h1219: romdata_int = 'h52c;
4651
    'h121a: romdata_int = 'h84f;
4652
    'h121b: romdata_int = 'hc17;
4653
    'h121c: romdata_int = 'hcad;
4654
    'h121d: romdata_int = 'h1038;
4655
    'h121e: romdata_int = 'h1094;
4656
    'h121f: romdata_int = 'h1200;
4657
    'h1220: romdata_int = 'h1af7;
4658
    'h1221: romdata_int = 'h4e00;
4659
    'h1222: romdata_int = 'h6abb;
4660
    'h1223: romdata_int = 'h7442;
4661
    'h1224: romdata_int = 'h8a00;
4662
    'h1225: romdata_int = 'h9b1a;
4663
    'h1226: romdata_int = 'ha52e;
4664
    'h1227: romdata_int = 'hc53c;
4665
    'h1228: romdata_int = 'hc600;
4666
    'h1229: romdata_int = 'hd264;
4667
    'h122a: romdata_int = 'hf238;
4668
    'h122b: romdata_int = 'h10200;
4669
    'h122c: romdata_int = 'h10a78;
4670
    'h122d: romdata_int = 'h531e; // Line descriptor for 5_6
4671
    'h122e: romdata_int = 'h55;
4672
    'h122f: romdata_int = 'h670;
4673
    'h1230: romdata_int = 'h866;
4674
    'h1231: romdata_int = 'h1230;
4675
    'h1232: romdata_int = 'h1400;
4676
    'h1233: romdata_int = 'h1cd9;
4677
    'h1234: romdata_int = 'h24c6;
4678
    'h1235: romdata_int = 'h30b9;
4679
    'h1236: romdata_int = 'h4539;
4680
    'h1237: romdata_int = 'h5000;
4681
    'h1238: romdata_int = 'h6233;
4682
    'h1239: romdata_int = 'h8c00;
4683
    'h123a: romdata_int = 'h9838;
4684
    'h123b: romdata_int = 'ha687;
4685
    'h123c: romdata_int = 'hc800;
4686
    'h123d: romdata_int = 'hce13;
4687
    'h123e: romdata_int = 'heb4b;
4688
    'h123f: romdata_int = 'h1006e;
4689
    'h1240: romdata_int = 'h10400;
4690
    'h1241: romdata_int = 'h10aed;
4691
    'h1242: romdata_int = 'h531e; // Line descriptor for 5_6
4692
    'h1243: romdata_int = 'h264;
4693
    'h1244: romdata_int = 'hb06;
4694
    'h1245: romdata_int = 'hc42;
4695
    'h1246: romdata_int = 'hd58;
4696
    'h1247: romdata_int = 'h1600;
4697
    'h1248: romdata_int = 'h171d;
4698
    'h1249: romdata_int = 'h1854;
4699
    'h124a: romdata_int = 'h1aee;
4700
    'h124b: romdata_int = 'h5200;
4701
    'h124c: romdata_int = 'h5a74;
4702
    'h124d: romdata_int = 'h763b;
4703
    'h124e: romdata_int = 'h7f26;
4704
    'h124f: romdata_int = 'h8e00;
4705
    'h1250: romdata_int = 'hb244;
4706
    'h1251: romdata_int = 'hb564;
4707
    'h1252: romdata_int = 'hca00;
4708
    'h1253: romdata_int = 'he614;
4709
    'h1254: romdata_int = 'h10600;
4710
    'h1255: romdata_int = 'h120e5;
4711
    'h1256: romdata_int = 'h12248;
4712
    'h1257: romdata_int = 'h531e; // Line descriptor for 5_6
4713
    'h1258: romdata_int = 'h91;
4714
    'h1259: romdata_int = 'h488;
4715
    'h125a: romdata_int = 'hf66;
4716
    'h125b: romdata_int = 'h1232;
4717
    'h125c: romdata_int = 'h153b;
4718
    'h125d: romdata_int = 'h1681;
4719
    'h125e: romdata_int = 'h1800;
4720
    'h125f: romdata_int = 'h3a7d;
4721
    'h1260: romdata_int = 'h4a38;
4722
    'h1261: romdata_int = 'h5400;
4723
    'h1262: romdata_int = 'h5c8b;
4724
    'h1263: romdata_int = 'h9000;
4725
    'h1264: romdata_int = 'ha2df;
4726
    'h1265: romdata_int = 'had28;
4727
    'h1266: romdata_int = 'hc4de;
4728
    'h1267: romdata_int = 'hcc00;
4729
    'h1268: romdata_int = 'hcd30;
4730
    'h1269: romdata_int = 'h10800;
4731
    'h126a: romdata_int = 'h10f0c;
4732
    'h126b: romdata_int = 'h12487;
4733
    'h126c: romdata_int = 'h531e; // Line descriptor for 5_6
4734
    'h126d: romdata_int = 'h11e;
4735
    'h126e: romdata_int = 'h336;
4736
    'h126f: romdata_int = 'h916;
4737
    'h1270: romdata_int = 'hee5;
4738
    'h1271: romdata_int = 'h131e;
4739
    'h1272: romdata_int = 'h1836;
4740
    'h1273: romdata_int = 'h1a00;
4741
    'h1274: romdata_int = 'h1b13;
4742
    'h1275: romdata_int = 'h54bd;
4743
    'h1276: romdata_int = 'h5600;
4744
    'h1277: romdata_int = 'h573d;
4745
    'h1278: romdata_int = 'h9200;
4746
    'h1279: romdata_int = 'hae1c;
4747
    'h127a: romdata_int = 'hb0c4;
4748
    'h127b: romdata_int = 'hcca1;
4749
    'h127c: romdata_int = 'hce00;
4750
    'h127d: romdata_int = 'hee2d;
4751
    'h127e: romdata_int = 'h10a00;
4752
    'h127f: romdata_int = 'h11c71;
4753
    'h1280: romdata_int = 'h12081;
4754
    'h1281: romdata_int = 'h531e; // Line descriptor for 5_6
4755
    'h1282: romdata_int = 'h60e;
4756
    'h1283: romdata_int = 'ha45;
4757
    'h1284: romdata_int = 'hc2b;
4758
    'h1285: romdata_int = 'hf45;
4759
    'h1286: romdata_int = 'h12b5;
4760
    'h1287: romdata_int = 'h1711;
4761
    'h1288: romdata_int = 'h1802;
4762
    'h1289: romdata_int = 'h1c00;
4763
    'h128a: romdata_int = 'h405c;
4764
    'h128b: romdata_int = 'h5800;
4765
    'h128c: romdata_int = 'h76ac;
4766
    'h128d: romdata_int = 'h7a8c;
4767
    'h128e: romdata_int = 'h8f40;
4768
    'h128f: romdata_int = 'h9400;
4769
    'h1290: romdata_int = 'hd000;
4770
    'h1291: romdata_int = 'he305;
4771
    'h1292: romdata_int = 'heb21;
4772
    'h1293: romdata_int = 'hfa8d;
4773
    'h1294: romdata_int = 'hfe83;
4774
    'h1295: romdata_int = 'h10c00;
4775
    'h1296: romdata_int = 'h531e; // Line descriptor for 5_6
4776
    'h1297: romdata_int = 'hd5;
4777
    'h1298: romdata_int = 'ha72;
4778
    'h1299: romdata_int = 'hadb;
4779
    'h129a: romdata_int = 'h154c;
4780
    'h129b: romdata_int = 'h1708;
4781
    'h129c: romdata_int = 'h1c42;
4782
    'h129d: romdata_int = 'h1e00;
4783
    'h129e: romdata_int = 'h3212;
4784
    'h129f: romdata_int = 'h3f41;
4785
    'h12a0: romdata_int = 'h5a00;
4786
    'h12a1: romdata_int = 'h66de;
4787
    'h12a2: romdata_int = 'h910b;
4788
    'h12a3: romdata_int = 'h9600;
4789
    'h12a4: romdata_int = 'ha089;
4790
    'h12a5: romdata_int = 'hd200;
4791
    'h12a6: romdata_int = 'hd406;
4792
    'h12a7: romdata_int = 'hd932;
4793
    'h12a8: romdata_int = 'hfd55;
4794
    'h12a9: romdata_int = 'h10e00;
4795
    'h12aa: romdata_int = 'h11060;
4796
    'h12ab: romdata_int = 'h531e; // Line descriptor for 5_6
4797
    'h12ac: romdata_int = 'h8a;
4798
    'h12ad: romdata_int = 'h23b;
4799
    'h12ae: romdata_int = 'h522;
4800
    'h12af: romdata_int = 'ha3e;
4801
    'h12b0: romdata_int = 'h10d8;
4802
    'h12b1: romdata_int = 'h1cb5;
4803
    'h12b2: romdata_int = 'h2000;
4804
    'h12b3: romdata_int = 'h3a6b;
4805
    'h12b4: romdata_int = 'h495c;
4806
    'h12b5: romdata_int = 'h561e;
4807
    'h12b6: romdata_int = 'h5c00;
4808
    'h12b7: romdata_int = 'h7a70;
4809
    'h12b8: romdata_int = 'h9800;
4810
    'h12b9: romdata_int = 'ha533;
4811
    'h12ba: romdata_int = 'hd400;
4812
    'h12bb: romdata_int = 'hd832;
4813
    'h12bc: romdata_int = 'he415;
4814
    'h12bd: romdata_int = 'h102d3;
4815
    'h12be: romdata_int = 'h11000;
4816
    'h12bf: romdata_int = 'h114d8;
4817
    'h12c0: romdata_int = 'h531e; // Line descriptor for 5_6
4818
    'h12c1: romdata_int = 'h262;
4819
    'h12c2: romdata_int = 'h354;
4820
    'h12c3: romdata_int = 'h45c;
4821
    'h12c4: romdata_int = 'h84d;
4822
    'h12c5: romdata_int = 'hc82;
4823
    'h12c6: romdata_int = 'h12a8;
4824
    'h12c7: romdata_int = 'h2200;
4825
    'h12c8: romdata_int = 'h347f;
4826
    'h12c9: romdata_int = 'h4d4e;
4827
    'h12ca: romdata_int = 'h50a9;
4828
    'h12cb: romdata_int = 'h5e00;
4829
    'h12cc: romdata_int = 'h8ab7;
4830
    'h12cd: romdata_int = 'h94eb;
4831
    'h12ce: romdata_int = 'h9a00;
4832
    'h12cf: romdata_int = 'hbe14;
4833
    'h12d0: romdata_int = 'hc6f8;
4834
    'h12d1: romdata_int = 'hd600;
4835
    'h12d2: romdata_int = 'h11200;
4836
    'h12d3: romdata_int = 'h11725;
4837
    'h12d4: romdata_int = 'h12b0b;
4838
    'h12d5: romdata_int = 'h531e; // Line descriptor for 5_6
4839
    'h12d6: romdata_int = 'h625;
4840
    'h12d7: romdata_int = 'ha0b;
4841
    'h12d8: romdata_int = 'he81;
4842
    'h12d9: romdata_int = 'h143d;
4843
    'h12da: romdata_int = 'h174c;
4844
    'h12db: romdata_int = 'h1c19;
4845
    'h12dc: romdata_int = 'h20d8;
4846
    'h12dd: romdata_int = 'h2400;
4847
    'h12de: romdata_int = 'h4c86;
4848
    'h12df: romdata_int = 'h521c;
4849
    'h12e0: romdata_int = 'h6000;
4850
    'h12e1: romdata_int = 'h8706;
4851
    'h12e2: romdata_int = 'h9c00;
4852
    'h12e3: romdata_int = 'ha0e9;
4853
    'h12e4: romdata_int = 'hd24b;
4854
    'h12e5: romdata_int = 'hd800;
4855
    'h12e6: romdata_int = 'heeea;
4856
    'h12e7: romdata_int = 'hf74a;
4857
    'h12e8: romdata_int = 'h10d31;
4858
    'h12e9: romdata_int = 'h11400;
4859
    'h12ea: romdata_int = 'h531e; // Line descriptor for 5_6
4860
    'h12eb: romdata_int = 'ha5;
4861
    'h12ec: romdata_int = 'h252;
4862
    'h12ed: romdata_int = 'h290;
4863
    'h12ee: romdata_int = 'h549;
4864
    'h12ef: romdata_int = 'hf4d;
4865
    'h12f0: romdata_int = 'h14d4;
4866
    'h12f1: romdata_int = 'h2600;
4867
    'h12f2: romdata_int = 'h2f37;
4868
    'h12f3: romdata_int = 'h6200;
4869
    'h12f4: romdata_int = 'h6687;
4870
    'h12f5: romdata_int = 'h72aa;
4871
    'h12f6: romdata_int = 'h7876;
4872
    'h12f7: romdata_int = 'h842f;
4873
    'h12f8: romdata_int = 'h9e00;
4874
    'h12f9: romdata_int = 'hbc40;
4875
    'h12fa: romdata_int = 'hd450;
4876
    'h12fb: romdata_int = 'hda00;
4877
    'h12fc: romdata_int = 'hf44e;
4878
    'h12fd: romdata_int = 'h11600;
4879
    'h12fe: romdata_int = 'h11842;
4880
    'h12ff: romdata_int = 'h531e; // Line descriptor for 5_6
4881
    'h1300: romdata_int = 'hac3;
4882
    'h1301: romdata_int = 'ha5c;
4883
    'h1302: romdata_int = 'hb58;
4884
    'h1303: romdata_int = 'h10b4;
4885
    'h1304: romdata_int = 'h1c06;
4886
    'h1305: romdata_int = 'h2800;
4887
    'h1306: romdata_int = 'h2a27;
4888
    'h1307: romdata_int = 'h351e;
4889
    'h1308: romdata_int = 'h3c50;
4890
    'h1309: romdata_int = 'h463d;
4891
    'h130a: romdata_int = 'h6400;
4892
    'h130b: romdata_int = 'h82b0;
4893
    'h130c: romdata_int = 'h92bb;
4894
    'h130d: romdata_int = 'ha000;
4895
    'h130e: romdata_int = 'hc669;
4896
    'h130f: romdata_int = 'hd135;
4897
    'h1310: romdata_int = 'hdc00;
4898
    'h1311: romdata_int = 'hf54f;
4899
    'h1312: romdata_int = 'hf8e6;
4900
    'h1313: romdata_int = 'h11800;
4901
    'h1314: romdata_int = 'h531e; // Line descriptor for 5_6
4902
    'h1315: romdata_int = 'h8f3;
4903
    'h1316: romdata_int = 'hc35;
4904
    'h1317: romdata_int = 'hc67;
4905
    'h1318: romdata_int = 'h1003;
4906
    'h1319: romdata_int = 'h126e;
4907
    'h131a: romdata_int = 'h1ce1;
4908
    'h131b: romdata_int = 'h2a00;
4909
    'h131c: romdata_int = 'h2e48;
4910
    'h131d: romdata_int = 'h4243;
4911
    'h131e: romdata_int = 'h42b1;
4912
    'h131f: romdata_int = 'h6600;
4913
    'h1320: romdata_int = 'h8028;
4914
    'h1321: romdata_int = 'h8ca4;
4915
    'h1322: romdata_int = 'ha200;
4916
    'h1323: romdata_int = 'hcf3f;
4917
    'h1324: romdata_int = 'hde00;
4918
    'h1325: romdata_int = 'he0d1;
4919
    'h1326: romdata_int = 'hf27e;
4920
    'h1327: romdata_int = 'hfd04;
4921
    'h1328: romdata_int = 'h11a00;
4922
    'h1329: romdata_int = 'h531e; // Line descriptor for 5_6
4923
    'h132a: romdata_int = 'h67;
4924
    'h132b: romdata_int = 'h72d;
4925
    'h132c: romdata_int = 'h1349;
4926
    'h132d: romdata_int = 'h1559;
4927
    'h132e: romdata_int = 'h1a45;
4928
    'h132f: romdata_int = 'h1a52;
4929
    'h1330: romdata_int = 'h1d28;
4930
    'h1331: romdata_int = 'h2c00;
4931
    'h1332: romdata_int = 'h4051;
4932
    'h1333: romdata_int = 'h5f53;
4933
    'h1334: romdata_int = 'h6800;
4934
    'h1335: romdata_int = 'ha235;
4935
    'h1336: romdata_int = 'ha400;
4936
    'h1337: romdata_int = 'haab9;
4937
    'h1338: romdata_int = 'hcb65;
4938
    'h1339: romdata_int = 'hdb0c;
4939
    'h133a: romdata_int = 'he000;
4940
    'h133b: romdata_int = 'h10d2f;
4941
    'h133c: romdata_int = 'h11b32;
4942
    'h133d: romdata_int = 'h11c00;
4943
    'h133e: romdata_int = 'h531e; // Line descriptor for 5_6
4944
    'h133f: romdata_int = 'h10c8;
4945
    'h1340: romdata_int = 'h14f3;
4946
    'h1341: romdata_int = 'h1aa6;
4947
    'h1342: romdata_int = 'h1b32;
4948
    'h1343: romdata_int = 'h1c24;
4949
    'h1344: romdata_int = 'h263b;
4950
    'h1345: romdata_int = 'h2b45;
4951
    'h1346: romdata_int = 'h2e00;
4952
    'h1347: romdata_int = 'h5273;
4953
    'h1348: romdata_int = 'h6a00;
4954
    'h1349: romdata_int = 'h6d1a;
4955
    'h134a: romdata_int = 'h9eb5;
4956
    'h134b: romdata_int = 'ha600;
4957
    'h134c: romdata_int = 'ha87c;
4958
    'h134d: romdata_int = 'he07f;
4959
    'h134e: romdata_int = 'he200;
4960
    'h134f: romdata_int = 'he44c;
4961
    'h1350: romdata_int = 'h10ece;
4962
    'h1351: romdata_int = 'h11e00;
4963
    'h1352: romdata_int = 'h11f2c;
4964
    'h1353: romdata_int = 'h531e; // Line descriptor for 5_6
4965
    'h1354: romdata_int = 'h2b6;
4966
    'h1355: romdata_int = 'h527;
4967
    'h1356: romdata_int = 'h682;
4968
    'h1357: romdata_int = 'h81a;
4969
    'h1358: romdata_int = 'he99;
4970
    'h1359: romdata_int = 'h28cd;
4971
    'h135a: romdata_int = 'h2905;
4972
    'h135b: romdata_int = 'h3000;
4973
    'h135c: romdata_int = 'h4945;
4974
    'h135d: romdata_int = 'h6c00;
4975
    'h135e: romdata_int = 'h72cd;
4976
    'h135f: romdata_int = 'h7830;
4977
    'h1360: romdata_int = 'h8956;
4978
    'h1361: romdata_int = 'ha800;
4979
    'h1362: romdata_int = 'hc02d;
4980
    'h1363: romdata_int = 'hc11c;
4981
    'h1364: romdata_int = 'he400;
4982
    'h1365: romdata_int = 'hf83f;
4983
    'h1366: romdata_int = 'h11c39;
4984
    'h1367: romdata_int = 'h12000;
4985
    'h1368: romdata_int = 'h531e; // Line descriptor for 5_6
4986
    'h1369: romdata_int = 'h69f;
4987
    'h136a: romdata_int = 'h752;
4988
    'h136b: romdata_int = 'h1498;
4989
    'h136c: romdata_int = 'h164f;
4990
    'h136d: romdata_int = 'h1903;
4991
    'h136e: romdata_int = 'h192f;
4992
    'h136f: romdata_int = 'h1b50;
4993
    'h1370: romdata_int = 'h3200;
4994
    'h1371: romdata_int = 'h3cea;
4995
    'h1372: romdata_int = 'h6a66;
4996
    'h1373: romdata_int = 'h6e00;
4997
    'h1374: romdata_int = 'h8893;
4998
    'h1375: romdata_int = 'h9671;
4999
    'h1376: romdata_int = 'haa00;
5000
    'h1377: romdata_int = 'hdc45;
5001
    'h1378: romdata_int = 'he600;
5002
    'h1379: romdata_int = 'hec44;
5003
    'h137a: romdata_int = 'h116fe;
5004
    'h137b: romdata_int = 'h12200;
5005
    'h137c: romdata_int = 'h12281;
5006
    'h137d: romdata_int = 'h531e; // Line descriptor for 5_6
5007
    'h137e: romdata_int = 'hd;
5008
    'h137f: romdata_int = 'hc73;
5009
    'h1380: romdata_int = 'h143a;
5010
    'h1381: romdata_int = 'h14ba;
5011
    'h1382: romdata_int = 'h1451;
5012
    'h1383: romdata_int = 'h14d9;
5013
    'h1384: romdata_int = 'h3233;
5014
    'h1385: romdata_int = 'h3400;
5015
    'h1386: romdata_int = 'h649e;
5016
    'h1387: romdata_int = 'h6821;
5017
    'h1388: romdata_int = 'h7000;
5018
    'h1389: romdata_int = 'h9880;
5019
    'h138a: romdata_int = 'h9cce;
5020
    'h138b: romdata_int = 'hac00;
5021
    'h138c: romdata_int = 'hbe06;
5022
    'h138d: romdata_int = 'hc363;
5023
    'h138e: romdata_int = 'he800;
5024
    'h138f: romdata_int = 'h1155f;
5025
    'h1390: romdata_int = 'h12400;
5026
    'h1391: romdata_int = 'h1273d;
5027
    'h1392: romdata_int = 'h531e; // Line descriptor for 5_6
5028
    'h1393: romdata_int = 'h8ee;
5029
    'h1394: romdata_int = 'he6b;
5030
    'h1395: romdata_int = 'h1160;
5031
    'h1396: romdata_int = 'h1734;
5032
    'h1397: romdata_int = 'h18d5;
5033
    'h1398: romdata_int = 'h18e4;
5034
    'h1399: romdata_int = 'h1c96;
5035
    'h139a: romdata_int = 'h3600;
5036
    'h139b: romdata_int = 'h5888;
5037
    'h139c: romdata_int = 'h6459;
5038
    'h139d: romdata_int = 'h7200;
5039
    'h139e: romdata_int = 'h972c;
5040
    'h139f: romdata_int = 'ha933;
5041
    'h13a0: romdata_int = 'hae00;
5042
    'h13a1: romdata_int = 'hd0d0;
5043
    'h13a2: romdata_int = 'hd633;
5044
    'h13a3: romdata_int = 'hea00;
5045
    'h13a4: romdata_int = 'h10704;
5046
    'h13a5: romdata_int = 'h12600;
5047
    'h13a6: romdata_int = 'h12af4;
5048
    'h13a7: romdata_int = 'h531e; // Line descriptor for 5_6
5049
    'h13a8: romdata_int = 'h2f2;
5050
    'h13a9: romdata_int = 'h832;
5051
    'h13aa: romdata_int = 'h1062;
5052
    'h13ab: romdata_int = 'h151c;
5053
    'h13ac: romdata_int = 'h16c6;
5054
    'h13ad: romdata_int = 'h1d43;
5055
    'h13ae: romdata_int = 'h2438;
5056
    'h13af: romdata_int = 'h3800;
5057
    'h13b0: romdata_int = 'h464d;
5058
    'h13b1: romdata_int = 'h60bc;
5059
    'h13b2: romdata_int = 'h7400;
5060
    'h13b3: romdata_int = 'h9527;
5061
    'h13b4: romdata_int = 'haae9;
5062
    'h13b5: romdata_int = 'hb000;
5063
    'h13b6: romdata_int = 'hb80a;
5064
    'h13b7: romdata_int = 'hdd2a;
5065
    'h13b8: romdata_int = 'hec00;
5066
    'h13b9: romdata_int = 'hfefe;
5067
    'h13ba: romdata_int = 'h100b6;
5068
    'h13bb: romdata_int = 'h12800;
5069
    'h13bc: romdata_int = 'h731e; // Line descriptor for 5_6
5070
    'h13bd: romdata_int = 'h128;
5071
    'h13be: romdata_int = 'h448;
5072
    'h13bf: romdata_int = 'h80a;
5073
    'h13c0: romdata_int = 'hcc5;
5074
    'h13c1: romdata_int = 'h16f2;
5075
    'h13c2: romdata_int = 'h2132;
5076
    'h13c3: romdata_int = 'h3040;
5077
    'h13c4: romdata_int = 'h3a00;
5078
    'h13c5: romdata_int = 'h5833;
5079
    'h13c6: romdata_int = 'h6272;
5080
    'h13c7: romdata_int = 'h7600;
5081
    'h13c8: romdata_int = 'h8544;
5082
    'h13c9: romdata_int = 'hb10c;
5083
    'h13ca: romdata_int = 'hb200;
5084
    'h13cb: romdata_int = 'hba49;
5085
    'h13cc: romdata_int = 'hbb35;
5086
    'h13cd: romdata_int = 'hee00;
5087
    'h13ce: romdata_int = 'hf03a;
5088
    'h13cf: romdata_int = 'hfabc;
5089
    'h13d0: romdata_int = 'h12a00;
5090
    'h13d1: romdata_int = 'h5814; // Line descriptor for 8_9
5091
    'h13d2: romdata_int = 'h0;
5092
    'h13d3: romdata_int = 'h322;
5093
    'h13d4: romdata_int = 'h1ec4;
5094
    'h13d5: romdata_int = 'h22e7;
5095
    'h13d6: romdata_int = 'h2800;
5096
    'h13d7: romdata_int = 'h3338;
5097
    'h13d8: romdata_int = 'h4479;
5098
    'h13d9: romdata_int = 'h5000;
5099
    'h13da: romdata_int = 'h6425;
5100
    'h13db: romdata_int = 'h72ee;
5101
    'h13dc: romdata_int = 'h7800;
5102
    'h13dd: romdata_int = 'h9a1e;
5103
    'h13de: romdata_int = 'h9e3f;
5104
    'h13df: romdata_int = 'ha000;
5105
    'h13e0: romdata_int = 'ha616;
5106
    'h13e1: romdata_int = 'hb750;
5107
    'h13e2: romdata_int = 'hc800;
5108
    'h13e3: romdata_int = 'hdace;
5109
    'h13e4: romdata_int = 'hdad6;
5110
    'h13e5: romdata_int = 'hf000;
5111
    'h13e6: romdata_int = 'hf35c;
5112
    'h13e7: romdata_int = 'h110d8;
5113
    'h13e8: romdata_int = 'h11800;
5114
    'h13e9: romdata_int = 'h13050;
5115
    'h13ea: romdata_int = 'h13222;
5116
    'h13eb: romdata_int = 'h5814; // Line descriptor for 8_9
5117
    'h13ec: romdata_int = 'h200;
5118
    'h13ed: romdata_int = 'h75c;
5119
    'h13ee: romdata_int = 'h10bc;
5120
    'h13ef: romdata_int = 'h2277;
5121
    'h13f0: romdata_int = 'h2a00;
5122
    'h13f1: romdata_int = 'h3d48;
5123
    'h13f2: romdata_int = 'h4631;
5124
    'h13f3: romdata_int = 'h5200;
5125
    'h13f4: romdata_int = 'h5650;
5126
    'h13f5: romdata_int = 'h7733;
5127
    'h13f6: romdata_int = 'h7a00;
5128
    'h13f7: romdata_int = 'h7e35;
5129
    'h13f8: romdata_int = 'h8523;
5130
    'h13f9: romdata_int = 'ha200;
5131
    'h13fa: romdata_int = 'hac4a;
5132
    'h13fb: romdata_int = 'hb8e2;
5133
    'h13fc: romdata_int = 'hca00;
5134
    'h13fd: romdata_int = 'hd870;
5135
    'h13fe: romdata_int = 'he74a;
5136
    'h13ff: romdata_int = 'hf200;
5137
    'h1400: romdata_int = 'h11132;
5138
    'h1401: romdata_int = 'h112c6;
5139
    'h1402: romdata_int = 'h11a00;
5140
    'h1403: romdata_int = 'h1345a;
5141
    'h1404: romdata_int = 'h13854;
5142
    'h1405: romdata_int = 'h5814; // Line descriptor for 8_9
5143
    'h1406: romdata_int = 'ha1;
5144
    'h1407: romdata_int = 'h400;
5145
    'h1408: romdata_int = 'hf3d;
5146
    'h1409: romdata_int = 'h224a;
5147
    'h140a: romdata_int = 'h2c00;
5148
    'h140b: romdata_int = 'h3b05;
5149
    'h140c: romdata_int = 'h4cbe;
5150
    'h140d: romdata_int = 'h5400;
5151
    'h140e: romdata_int = 'h5719;
5152
    'h140f: romdata_int = 'h6eeb;
5153
    'h1410: romdata_int = 'h7c00;
5154
    'h1411: romdata_int = 'h915d;
5155
    'h1412: romdata_int = 'h98f2;
5156
    'h1413: romdata_int = 'ha400;
5157
    'h1414: romdata_int = 'hb6b5;
5158
    'h1415: romdata_int = 'hc458;
5159
    'h1416: romdata_int = 'hca67;
5160
    'h1417: romdata_int = 'hcc00;
5161
    'h1418: romdata_int = 'hd276;
5162
    'h1419: romdata_int = 'hf400;
5163
    'h141a: romdata_int = 'hf561;
5164
    'h141b: romdata_int = 'h1007b;
5165
    'h141c: romdata_int = 'h11c00;
5166
    'h141d: romdata_int = 'h12904;
5167
    'h141e: romdata_int = 'h12aec;
5168
    'h141f: romdata_int = 'h5814; // Line descriptor for 8_9
5169
    'h1420: romdata_int = 'h600;
5170
    'h1421: romdata_int = 'hab8;
5171
    'h1422: romdata_int = 'h1a43;
5172
    'h1423: romdata_int = 'h1b28;
5173
    'h1424: romdata_int = 'h2e00;
5174
    'h1425: romdata_int = 'h3475;
5175
    'h1426: romdata_int = 'h48d0;
5176
    'h1427: romdata_int = 'h5600;
5177
    'h1428: romdata_int = 'h5b21;
5178
    'h1429: romdata_int = 'h6a70;
5179
    'h142a: romdata_int = 'h7e00;
5180
    'h142b: romdata_int = 'h7ead;
5181
    'h142c: romdata_int = 'h9ef5;
5182
    'h142d: romdata_int = 'ha41d;
5183
    'h142e: romdata_int = 'ha600;
5184
    'h142f: romdata_int = 'hb4c8;
5185
    'h1430: romdata_int = 'hce00;
5186
    'h1431: romdata_int = 'he446;
5187
    'h1432: romdata_int = 'he703;
5188
    'h1433: romdata_int = 'hf600;
5189
    'h1434: romdata_int = 'h10738;
5190
    'h1435: romdata_int = 'h10cad;
5191
    'h1436: romdata_int = 'h11e00;
5192
    'h1437: romdata_int = 'h122ca;
5193
    'h1438: romdata_int = 'h1387b;
5194
    'h1439: romdata_int = 'h5814; // Line descriptor for 8_9
5195
    'h143a: romdata_int = 'h800;
5196
    'h143b: romdata_int = 'h939;
5197
    'h143c: romdata_int = 'h120d;
5198
    'h143d: romdata_int = 'h2052;
5199
    'h143e: romdata_int = 'h2a4b;
5200
    'h143f: romdata_int = 'h2c5f;
5201
    'h1440: romdata_int = 'h3000;
5202
    'h1441: romdata_int = 'h5800;
5203
    'h1442: romdata_int = 'h581a;
5204
    'h1443: romdata_int = 'h6693;
5205
    'h1444: romdata_int = 'h8000;
5206
    'h1445: romdata_int = 'h8eb3;
5207
    'h1446: romdata_int = 'h94f8;
5208
    'h1447: romdata_int = 'ha2a1;
5209
    'h1448: romdata_int = 'ha800;
5210
    'h1449: romdata_int = 'hba3a;
5211
    'h144a: romdata_int = 'hd000;
5212
    'h144b: romdata_int = 'hed35;
5213
    'h144c: romdata_int = 'hef52;
5214
    'h144d: romdata_int = 'hf6b4;
5215
    'h144e: romdata_int = 'hf800;
5216
    'h144f: romdata_int = 'h10884;
5217
    'h1450: romdata_int = 'h12000;
5218
    'h1451: romdata_int = 'h12a97;
5219
    'h1452: romdata_int = 'h13cd6;
5220
    'h1453: romdata_int = 'h5814; // Line descriptor for 8_9
5221
    'h1454: romdata_int = 'ha00;
5222
    'h1455: romdata_int = 'ha79;
5223
    'h1456: romdata_int = 'h18f3;
5224
    'h1457: romdata_int = 'h192c;
5225
    'h1458: romdata_int = 'h3200;
5226
    'h1459: romdata_int = 'h3711;
5227
    'h145a: romdata_int = 'h3eee;
5228
    'h145b: romdata_int = 'h592c;
5229
    'h145c: romdata_int = 'h5a00;
5230
    'h145d: romdata_int = 'h7072;
5231
    'h145e: romdata_int = 'h7a07;
5232
    'h145f: romdata_int = 'h8200;
5233
    'h1460: romdata_int = 'h98f6;
5234
    'h1461: romdata_int = 'haa00;
5235
    'h1462: romdata_int = 'hb267;
5236
    'h1463: romdata_int = 'hbc62;
5237
    'h1464: romdata_int = 'hd200;
5238
    'h1465: romdata_int = 'hdd23;
5239
    'h1466: romdata_int = 'he410;
5240
    'h1467: romdata_int = 'hfa00;
5241
    'h1468: romdata_int = 'hfe7d;
5242
    'h1469: romdata_int = 'h11238;
5243
    'h146a: romdata_int = 'h120c2;
5244
    'h146b: romdata_int = 'h12200;
5245
    'h146c: romdata_int = 'h12d10;
5246
    'h146d: romdata_int = 'h5814; // Line descriptor for 8_9
5247
    'h146e: romdata_int = 'hc00;
5248
    'h146f: romdata_int = 'h1291;
5249
    'h1470: romdata_int = 'h18a4;
5250
    'h1471: romdata_int = 'h26bd;
5251
    'h1472: romdata_int = 'h2934;
5252
    'h1473: romdata_int = 'h3400;
5253
    'h1474: romdata_int = 'h412a;
5254
    'h1475: romdata_int = 'h5132;
5255
    'h1476: romdata_int = 'h5c00;
5256
    'h1477: romdata_int = 'h6280;
5257
    'h1478: romdata_int = 'h78fd;
5258
    'h1479: romdata_int = 'h7a41;
5259
    'h147a: romdata_int = 'h8400;
5260
    'h147b: romdata_int = 'ha937;
5261
    'h147c: romdata_int = 'hac00;
5262
    'h147d: romdata_int = 'hbe03;
5263
    'h147e: romdata_int = 'hd400;
5264
    'h147f: romdata_int = 'hd43d;
5265
    'h1480: romdata_int = 'heaf2;
5266
    'h1481: romdata_int = 'hfc00;
5267
    'h1482: romdata_int = 'h100f0;
5268
    'h1483: romdata_int = 'h10f09;
5269
    'h1484: romdata_int = 'h11f37;
5270
    'h1485: romdata_int = 'h12048;
5271
    'h1486: romdata_int = 'h12400;
5272
    'h1487: romdata_int = 'h5814; // Line descriptor for 8_9
5273
    'h1488: romdata_int = 'h42e;
5274
    'h1489: romdata_int = 'ha60;
5275
    'h148a: romdata_int = 'he00;
5276
    'h148b: romdata_int = 'h1328;
5277
    'h148c: romdata_int = 'h2e9f;
5278
    'h148d: romdata_int = 'h2f2d;
5279
    'h148e: romdata_int = 'h3600;
5280
    'h148f: romdata_int = 'h5e00;
5281
    'h1490: romdata_int = 'h6c2b;
5282
    'h1491: romdata_int = 'h76b0;
5283
    'h1492: romdata_int = 'h814c;
5284
    'h1493: romdata_int = 'h8600;
5285
    'h1494: romdata_int = 'h8c32;
5286
    'h1495: romdata_int = 'had56;
5287
    'h1496: romdata_int = 'hae00;
5288
    'h1497: romdata_int = 'hb069;
5289
    'h1498: romdata_int = 'hd600;
5290
    'h1499: romdata_int = 'he31d;
5291
    'h149a: romdata_int = 'hef64;
5292
    'h149b: romdata_int = 'hfe00;
5293
    'h149c: romdata_int = 'hfeaa;
5294
    'h149d: romdata_int = 'h1074b;
5295
    'h149e: romdata_int = 'h11b49;
5296
    'h149f: romdata_int = 'h122c3;
5297
    'h14a0: romdata_int = 'h12600;
5298
    'h14a1: romdata_int = 'h5814; // Line descriptor for 8_9
5299
    'h14a2: romdata_int = 'h8e;
5300
    'h14a3: romdata_int = 'h30b;
5301
    'h14a4: romdata_int = 'h1000;
5302
    'h14a5: romdata_int = 'h1079;
5303
    'h14a6: romdata_int = 'h3800;
5304
    'h14a7: romdata_int = 'h3a4f;
5305
    'h14a8: romdata_int = 'h4ec5;
5306
    'h14a9: romdata_int = 'h6000;
5307
    'h14aa: romdata_int = 'h6661;
5308
    'h14ab: romdata_int = 'h6946;
5309
    'h14ac: romdata_int = 'h786b;
5310
    'h14ad: romdata_int = 'h8800;
5311
    'h14ae: romdata_int = 'h8cf6;
5312
    'h14af: romdata_int = 'ha497;
5313
    'h14b0: romdata_int = 'hb000;
5314
    'h14b1: romdata_int = 'hb53f;
5315
    'h14b2: romdata_int = 'hce3d;
5316
    'h14b3: romdata_int = 'hd6e3;
5317
    'h14b4: romdata_int = 'hd800;
5318
    'h14b5: romdata_int = 'h10000;
5319
    'h14b6: romdata_int = 'h1050b;
5320
    'h14b7: romdata_int = 'h10afe;
5321
    'h14b8: romdata_int = 'h12800;
5322
    'h14b9: romdata_int = 'h12e5b;
5323
    'h14ba: romdata_int = 'h13d1e;
5324
    'h14bb: romdata_int = 'h5814; // Line descriptor for 8_9
5325
    'h14bc: romdata_int = 'hd3b;
5326
    'h14bd: romdata_int = 'h1200;
5327
    'h14be: romdata_int = 'h1ab0;
5328
    'h14bf: romdata_int = 'h1ce5;
5329
    'h14c0: romdata_int = 'h3a00;
5330
    'h14c1: romdata_int = 'h4262;
5331
    'h14c2: romdata_int = 'h42c1;
5332
    'h14c3: romdata_int = 'h525f;
5333
    'h14c4: romdata_int = 'h6200;
5334
    'h14c5: romdata_int = 'h643e;
5335
    'h14c6: romdata_int = 'h8a00;
5336
    'h14c7: romdata_int = 'h908f;
5337
    'h14c8: romdata_int = 'h9d45;
5338
    'h14c9: romdata_int = 'ha0a8;
5339
    'h14ca: romdata_int = 'hb052;
5340
    'h14cb: romdata_int = 'hb200;
5341
    'h14cc: romdata_int = 'hda00;
5342
    'h14cd: romdata_int = 'he8e4;
5343
    'h14ce: romdata_int = 'he93e;
5344
    'h14cf: romdata_int = 'hfca6;
5345
    'h14d0: romdata_int = 'h10200;
5346
    'h14d1: romdata_int = 'h10cc2;
5347
    'h14d2: romdata_int = 'h128d5;
5348
    'h14d3: romdata_int = 'h12a00;
5349
    'h14d4: romdata_int = 'h13685;
5350
    'h14d5: romdata_int = 'h5814; // Line descriptor for 8_9
5351
    'h14d6: romdata_int = 'h404;
5352
    'h14d7: romdata_int = 'he99;
5353
    'h14d8: romdata_int = 'h1400;
5354
    'h14d9: romdata_int = 'h1461;
5355
    'h14da: romdata_int = 'h2c06;
5356
    'h14db: romdata_int = 'h328f;
5357
    'h14dc: romdata_int = 'h3c00;
5358
    'h14dd: romdata_int = 'h542a;
5359
    'h14de: romdata_int = 'h62ab;
5360
    'h14df: romdata_int = 'h6400;
5361
    'h14e0: romdata_int = 'h88c4;
5362
    'h14e1: romdata_int = 'h8c00;
5363
    'h14e2: romdata_int = 'h96dc;
5364
    'h14e3: romdata_int = 'hb400;
5365
    'h14e4: romdata_int = 'hbb60;
5366
    'h14e5: romdata_int = 'hc094;
5367
    'h14e6: romdata_int = 'hc830;
5368
    'h14e7: romdata_int = 'hccf3;
5369
    'h14e8: romdata_int = 'hdc00;
5370
    'h14e9: romdata_int = 'hf487;
5371
    'h14ea: romdata_int = 'hfa05;
5372
    'h14eb: romdata_int = 'h10400;
5373
    'h14ec: romdata_int = 'h12c00;
5374
    'h14ed: romdata_int = 'h12e12;
5375
    'h14ee: romdata_int = 'h1354e;
5376
    'h14ef: romdata_int = 'h5814; // Line descriptor for 8_9
5377
    'h14f0: romdata_int = 'h1600;
5378
    'h14f1: romdata_int = 'h16ae;
5379
    'h14f2: romdata_int = 'h203b;
5380
    'h14f3: romdata_int = 'h2553;
5381
    'h14f4: romdata_int = 'h3441;
5382
    'h14f5: romdata_int = 'h3e00;
5383
    'h14f6: romdata_int = 'h44b2;
5384
    'h14f7: romdata_int = 'h5b06;
5385
    'h14f8: romdata_int = 'h6040;
5386
    'h14f9: romdata_int = 'h6600;
5387
    'h14fa: romdata_int = 'h7c2b;
5388
    'h14fb: romdata_int = 'h8a0a;
5389
    'h14fc: romdata_int = 'h8e00;
5390
    'h14fd: romdata_int = 'ha097;
5391
    'h14fe: romdata_int = 'hb600;
5392
    'h14ff: romdata_int = 'hbed8;
5393
    'h1500: romdata_int = 'hd759;
5394
    'h1501: romdata_int = 'hde00;
5395
    'h1502: romdata_int = 'he111;
5396
    'h1503: romdata_int = 'hf061;
5397
    'h1504: romdata_int = 'h10600;
5398
    'h1505: romdata_int = 'h11745;
5399
    'h1506: romdata_int = 'h11c37;
5400
    'h1507: romdata_int = 'h12e00;
5401
    'h1508: romdata_int = 'h13b02;
5402
    'h1509: romdata_int = 'h5814; // Line descriptor for 8_9
5403
    'h150a: romdata_int = 'h2ae;
5404
    'h150b: romdata_int = 'h83a;
5405
    'h150c: romdata_int = 'h1800;
5406
    'h150d: romdata_int = 'h1c6a;
5407
    'h150e: romdata_int = 'h4000;
5408
    'h150f: romdata_int = 'h4158;
5409
    'h1510: romdata_int = 'h46e7;
5410
    'h1511: romdata_int = 'h5c08;
5411
    'h1512: romdata_int = 'h5c65;
5412
    'h1513: romdata_int = 'h6800;
5413
    'h1514: romdata_int = 'h84f6;
5414
    'h1515: romdata_int = 'h9000;
5415
    'h1516: romdata_int = 'h9250;
5416
    'h1517: romdata_int = 'hae60;
5417
    'h1518: romdata_int = 'hb800;
5418
    'h1519: romdata_int = 'hc2e8;
5419
    'h151a: romdata_int = 'hc880;
5420
    'h151b: romdata_int = 'he000;
5421
    'h151c: romdata_int = 'hed62;
5422
    'h151d: romdata_int = 'h10800;
5423
    'h151e: romdata_int = 'h10ad2;
5424
    'h151f: romdata_int = 'h11627;
5425
    'h1520: romdata_int = 'h12d3e;
5426
    'h1521: romdata_int = 'h13000;
5427
    'h1522: romdata_int = 'h13b2a;
5428
    'h1523: romdata_int = 'h5814; // Line descriptor for 8_9
5429
    'h1524: romdata_int = 'h101e;
5430
    'h1525: romdata_int = 'h14d5;
5431
    'h1526: romdata_int = 'h16e6;
5432
    'h1527: romdata_int = 'h1a00;
5433
    'h1528: romdata_int = 'h387d;
5434
    'h1529: romdata_int = 'h4200;
5435
    'h152a: romdata_int = 'h4a30;
5436
    'h152b: romdata_int = 'h521c;
5437
    'h152c: romdata_int = 'h6a00;
5438
    'h152d: romdata_int = 'h6cba;
5439
    'h152e: romdata_int = 'h9200;
5440
    'h152f: romdata_int = 'h9232;
5441
    'h1530: romdata_int = 'h942f;
5442
    'h1531: romdata_int = 'haedd;
5443
    'h1532: romdata_int = 'hba00;
5444
    'h1533: romdata_int = 'hbcb4;
5445
    'h1534: romdata_int = 'hcf59;
5446
    'h1535: romdata_int = 'he0bd;
5447
    'h1536: romdata_int = 'he200;
5448
    'h1537: romdata_int = 'hf638;
5449
    'h1538: romdata_int = 'h108fd;
5450
    'h1539: romdata_int = 'h10a00;
5451
    'h153a: romdata_int = 'h1248f;
5452
    'h153b: romdata_int = 'h12656;
5453
    'h153c: romdata_int = 'h13200;
5454
    'h153d: romdata_int = 'h5814; // Line descriptor for 8_9
5455
    'h153e: romdata_int = 'hcb9;
5456
    'h153f: romdata_int = 'hf65;
5457
    'h1540: romdata_int = 'h1c00;
5458
    'h1541: romdata_int = 'h252c;
5459
    'h1542: romdata_int = 'h3e66;
5460
    'h1543: romdata_int = 'h4400;
5461
    'h1544: romdata_int = 'h4ebd;
5462
    'h1545: romdata_int = 'h54c9;
5463
    'h1546: romdata_int = 'h6c00;
5464
    'h1547: romdata_int = 'h6e44;
5465
    'h1548: romdata_int = 'h8115;
5466
    'h1549: romdata_int = 'h8a9a;
5467
    'h154a: romdata_int = 'h9400;
5468
    'h154b: romdata_int = 'haa36;
5469
    'h154c: romdata_int = 'hb8b8;
5470
    'h154d: romdata_int = 'hbc00;
5471
    'h154e: romdata_int = 'hdc17;
5472
    'h154f: romdata_int = 'hde6b;
5473
    'h1550: romdata_int = 'he400;
5474
    'h1551: romdata_int = 'hf8b8;
5475
    'h1552: romdata_int = 'h1020a;
5476
    'h1553: romdata_int = 'h10c00;
5477
    'h1554: romdata_int = 'h118c4;
5478
    'h1555: romdata_int = 'h13267;
5479
    'h1556: romdata_int = 'h13400;
5480
    'h1557: romdata_int = 'h5814; // Line descriptor for 8_9
5481
    'h1558: romdata_int = 'h137;
5482
    'h1559: romdata_int = 'hc7c;
5483
    'h155a: romdata_int = 'h1cc9;
5484
    'h155b: romdata_int = 'h1e00;
5485
    'h155c: romdata_int = 'h3c1a;
5486
    'h155d: romdata_int = 'h4600;
5487
    'h155e: romdata_int = 'h48a0;
5488
    'h155f: romdata_int = 'h5e5d;
5489
    'h1560: romdata_int = 'h5e7b;
5490
    'h1561: romdata_int = 'h6e00;
5491
    'h1562: romdata_int = 'h8e3d;
5492
    'h1563: romdata_int = 'h9600;
5493
    'h1564: romdata_int = 'h96e3;
5494
    'h1565: romdata_int = 'ha94c;
5495
    'h1566: romdata_int = 'haaf4;
5496
    'h1567: romdata_int = 'hbe00;
5497
    'h1568: romdata_int = 'hd413;
5498
    'h1569: romdata_int = 'he24f;
5499
    'h156a: romdata_int = 'he600;
5500
    'h156b: romdata_int = 'hfa43;
5501
    'h156c: romdata_int = 'h10e00;
5502
    'h156d: romdata_int = 'h1143b;
5503
    'h156e: romdata_int = 'h12718;
5504
    'h156f: romdata_int = 'h130ea;
5505
    'h1570: romdata_int = 'h13600;
5506
    'h1571: romdata_int = 'h5814; // Line descriptor for 8_9
5507
    'h1572: romdata_int = 'h6e1;
5508
    'h1573: romdata_int = 'h1455;
5509
    'h1574: romdata_int = 'h2000;
5510
    'h1575: romdata_int = 'h2549;
5511
    'h1576: romdata_int = 'h2901;
5512
    'h1577: romdata_int = 'h2ad9;
5513
    'h1578: romdata_int = 'h4800;
5514
    'h1579: romdata_int = 'h509f;
5515
    'h157a: romdata_int = 'h6ab4;
5516
    'h157b: romdata_int = 'h7000;
5517
    'h157c: romdata_int = 'h86ed;
5518
    'h157d: romdata_int = 'h873d;
5519
    'h157e: romdata_int = 'h9800;
5520
    'h157f: romdata_int = 'hc000;
5521
    'h1580: romdata_int = 'hc059;
5522
    'h1581: romdata_int = 'hc71e;
5523
    'h1582: romdata_int = 'hd291;
5524
    'h1583: romdata_int = 'he800;
5525
    'h1584: romdata_int = 'hea2c;
5526
    'h1585: romdata_int = 'hfd50;
5527
    'h1586: romdata_int = 'h102d2;
5528
    'h1587: romdata_int = 'h11000;
5529
    'h1588: romdata_int = 'h11d49;
5530
    'h1589: romdata_int = 'h13800;
5531
    'h158a: romdata_int = 'h13e54;
5532
    'h158b: romdata_int = 'h5814; // Line descriptor for 8_9
5533
    'h158c: romdata_int = 'h489;
5534
    'h158d: romdata_int = 'h8a1;
5535
    'h158e: romdata_int = 'h1f50;
5536
    'h158f: romdata_int = 'h2200;
5537
    'h1590: romdata_int = 'h394a;
5538
    'h1591: romdata_int = 'h4a00;
5539
    'h1592: romdata_int = 'h4a9b;
5540
    'h1593: romdata_int = 'h6018;
5541
    'h1594: romdata_int = 'h7200;
5542
    'h1595: romdata_int = 'h72c3;
5543
    'h1596: romdata_int = 'h8323;
5544
    'h1597: romdata_int = 'h9a00;
5545
    'h1598: romdata_int = 'h9c78;
5546
    'h1599: romdata_int = 'ha2b1;
5547
    'h159a: romdata_int = 'hc200;
5548
    'h159b: romdata_int = 'hc4ee;
5549
    'h159c: romdata_int = 'hcce5;
5550
    'h159d: romdata_int = 'hd033;
5551
    'h159e: romdata_int = 'hea00;
5552
    'h159f: romdata_int = 'hf29b;
5553
    'h15a0: romdata_int = 'hf820;
5554
    'h15a1: romdata_int = 'h11200;
5555
    'h15a2: romdata_int = 'h11e48;
5556
    'h15a3: romdata_int = 'h13644;
5557
    'h15a4: romdata_int = 'h13a00;
5558
    'h15a5: romdata_int = 'h5814; // Line descriptor for 8_9
5559
    'h15a6: romdata_int = 'h212b;
5560
    'h15a7: romdata_int = 'h2400;
5561
    'h15a8: romdata_int = 'h2688;
5562
    'h15a9: romdata_int = 'h2727;
5563
    'h15aa: romdata_int = 'h314f;
5564
    'h15ab: romdata_int = 'h4c00;
5565
    'h15ac: romdata_int = 'h4d35;
5566
    'h15ad: romdata_int = 'h70ea;
5567
    'h15ae: romdata_int = 'h7400;
5568
    'h15af: romdata_int = 'h74ca;
5569
    'h15b0: romdata_int = 'h8814;
5570
    'h15b1: romdata_int = 'h9b20;
5571
    'h15b2: romdata_int = 'h9c00;
5572
    'h15b3: romdata_int = 'ha6a2;
5573
    'h15b4: romdata_int = 'hc2a0;
5574
    'h15b5: romdata_int = 'hc400;
5575
    'h15b6: romdata_int = 'hd8e6;
5576
    'h15b7: romdata_int = 'hdf15;
5577
    'h15b8: romdata_int = 'hec00;
5578
    'h15b9: romdata_int = 'hf13d;
5579
    'h15ba: romdata_int = 'h10e17;
5580
    'h15bb: romdata_int = 'h11400;
5581
    'h15bc: romdata_int = 'h1188a;
5582
    'h15bd: romdata_int = 'h11aa1;
5583
    'h15be: romdata_int = 'h13c00;
5584
    'h15bf: romdata_int = 'h7814; // Line descriptor for 8_9
5585
    'h15c0: romdata_int = 'h6ec;
5586
    'h15c1: romdata_int = 'h1734;
5587
    'h15c2: romdata_int = 'h1e4e;
5588
    'h15c3: romdata_int = 'h2600;
5589
    'h15c4: romdata_int = 'h3025;
5590
    'h15c5: romdata_int = 'h3633;
5591
    'h15c6: romdata_int = 'h4e00;
5592
    'h15c7: romdata_int = 'h6890;
5593
    'h15c8: romdata_int = 'h745c;
5594
    'h15c9: romdata_int = 'h7600;
5595
    'h15ca: romdata_int = 'h7c73;
5596
    'h15cb: romdata_int = 'h8210;
5597
    'h15cc: romdata_int = 'h9e00;
5598
    'h15cd: romdata_int = 'hb269;
5599
    'h15ce: romdata_int = 'hc600;
5600
    'h15cf: romdata_int = 'hc645;
5601
    'h15d0: romdata_int = 'hcb49;
5602
    'h15d1: romdata_int = 'hd0cf;
5603
    'h15d2: romdata_int = 'hee00;
5604
    'h15d3: romdata_int = 'h10518;
5605
    'h15d4: romdata_int = 'h1151c;
5606
    'h15d5: romdata_int = 'h11600;
5607
    'h15d6: romdata_int = 'h12555;
5608
    'h15d7: romdata_int = 'h13e00;
5609
    'h15d8: romdata_int = 'h13e48;
5610
    'h15d9: romdata_int = 'h5b12; // Line descriptor for 9_10
5611
    'h15da: romdata_int = 'h0;
5612
    'h15db: romdata_int = 'h322;
5613
    'h15dc: romdata_int = 'h172c;
5614
    'h15dd: romdata_int = 'h1ac4;
5615
    'h15de: romdata_int = 'h2400;
5616
    'h15df: romdata_int = 'h3538;
5617
    'h15e0: romdata_int = 'h4679;
5618
    'h15e1: romdata_int = 'h4800;
5619
    'h15e2: romdata_int = 'h4ad0;
5620
    'h15e3: romdata_int = 'h6a7e;
5621
    'h15e4: romdata_int = 'h6c00;
5622
    'h15e5: romdata_int = 'h7272;
5623
    'h15e6: romdata_int = 'h8123;
5624
    'h15e7: romdata_int = 'h9000;
5625
    'h15e8: romdata_int = 'ha84a;
5626
    'h15e9: romdata_int = 'hac67;
5627
    'h15ea: romdata_int = 'hb400;
5628
    'h15eb: romdata_int = 'hb608;
5629
    'h15ec: romdata_int = 'hc2ed;
5630
    'h15ed: romdata_int = 'hd800;
5631
    'h15ee: romdata_int = 'he15c;
5632
    'h15ef: romdata_int = 'hf8d8;
5633
    'h15f0: romdata_int = 'hfc00;
5634
    'h15f1: romdata_int = 'hfc5e;
5635
    'h15f2: romdata_int = 'h1063a;
5636
    'h15f3: romdata_int = 'h12000;
5637
    'h15f4: romdata_int = 'h13241;
5638
    'h15f5: romdata_int = 'h13440;
5639
    'h15f6: romdata_int = 'h5b12; // Line descriptor for 9_10
5640
    'h15f7: romdata_int = 'h200;
5641
    'h15f8: romdata_int = 'h75c;
5642
    'h15f9: romdata_int = 'hebc;
5643
    'h15fa: romdata_int = 'h1928;
5644
    'h15fb: romdata_int = 'h2600;
5645
    'h15fc: romdata_int = 'h3d05;
5646
    'h15fd: romdata_int = 'h3f48;
5647
    'h15fe: romdata_int = 'h4a00;
5648
    'h15ff: romdata_int = 'h5850;
5649
    'h1600: romdata_int = 'h5919;
5650
    'h1601: romdata_int = 'h6e00;
5651
    'h1602: romdata_int = 'h70eb;
5652
    'h1603: romdata_int = 'h7cad;
5653
    'h1604: romdata_int = 'h9200;
5654
    'h1605: romdata_int = 'h961e;
5655
    'h1606: romdata_int = 'haec8;
5656
    'h1607: romdata_int = 'hb600;
5657
    'h1608: romdata_int = 'hbc67;
5658
    'h1609: romdata_int = 'hc8d6;
5659
    'h160a: romdata_int = 'hda00;
5660
    'h160b: romdata_int = 'hed28;
5661
    'h160c: romdata_int = 'hee24;
5662
    'h160d: romdata_int = 'hfe00;
5663
    'h160e: romdata_int = 'h11824;
5664
    'h160f: romdata_int = 'h11eea;
5665
    'h1610: romdata_int = 'h12141;
5666
    'h1611: romdata_int = 'h12200;
5667
    'h1612: romdata_int = 'h13d2c;
5668
    'h1613: romdata_int = 'h5b12; // Line descriptor for 9_10
5669
    'h1614: romdata_int = 'ha1;
5670
    'h1615: romdata_int = 'h400;
5671
    'h1616: romdata_int = 'h100d;
5672
    'h1617: romdata_int = 'h204a;
5673
    'h1618: romdata_int = 'h2800;
5674
    'h1619: romdata_int = 'h3675;
5675
    'h161a: romdata_int = 'h44c1;
5676
    'h161b: romdata_int = 'h4831;
5677
    'h161c: romdata_int = 'h4c00;
5678
    'h161d: romdata_int = 'h50bd;
5679
    'h161e: romdata_int = 'h7000;
5680
    'h161f: romdata_int = 'h74ee;
5681
    'h1620: romdata_int = 'h7c35;
5682
    'h1621: romdata_int = 'h9400;
5683
    'h1622: romdata_int = 'h9ee5;
5684
    'h1623: romdata_int = 'ha4f3;
5685
    'h1624: romdata_int = 'hb800;
5686
    'h1625: romdata_int = 'hc470;
5687
    'h1626: romdata_int = 'hc8ce;
5688
    'h1627: romdata_int = 'hdb21;
5689
    'h1628: romdata_int = 'hdc00;
5690
    'h1629: romdata_int = 'hf932;
5691
    'h162a: romdata_int = 'h10000;
5692
    'h162b: romdata_int = 'h11304;
5693
    'h162c: romdata_int = 'h11e50;
5694
    'h162d: romdata_int = 'h12400;
5695
    'h162e: romdata_int = 'h12454;
5696
    'h162f: romdata_int = 'h13f1a;
5697
    'h1630: romdata_int = 'h5b12; // Line descriptor for 9_10
5698
    'h1631: romdata_int = 'h600;
5699
    'h1632: romdata_int = 'hab8;
5700
    'h1633: romdata_int = 'h1843;
5701
    'h1634: romdata_int = 'h2077;
5702
    'h1635: romdata_int = 'h28bd;
5703
    'h1636: romdata_int = 'h2a00;
5704
    'h1637: romdata_int = 'h2e5f;
5705
    'h1638: romdata_int = 'h4e00;
5706
    'h1639: romdata_int = 'h4ebe;
5707
    'h163a: romdata_int = 'h6825;
5708
    'h163b: romdata_int = 'h7200;
5709
    'h163c: romdata_int = 'h8ab3;
5710
    'h163d: romdata_int = 'h8e32;
5711
    'h163e: romdata_int = 'h9600;
5712
    'h163f: romdata_int = 'h9c1d;
5713
    'h1640: romdata_int = 'h9e3c;
5714
    'h1641: romdata_int = 'hba00;
5715
    'h1642: romdata_int = 'hd246;
5716
    'h1643: romdata_int = 'hd503;
5717
    'h1644: romdata_int = 'hd8b0;
5718
    'h1645: romdata_int = 'hdd35;
5719
    'h1646: romdata_int = 'hde00;
5720
    'h1647: romdata_int = 'h10200;
5721
    'h1648: romdata_int = 'h10eca;
5722
    'h1649: romdata_int = 'h114a9;
5723
    'h164a: romdata_int = 'h1247b;
5724
    'h164b: romdata_int = 'h12600;
5725
    'h164c: romdata_int = 'h1304b;
5726
    'h164d: romdata_int = 'h5b12; // Line descriptor for 9_10
5727
    'h164e: romdata_int = 'h800;
5728
    'h164f: romdata_int = 'h939;
5729
    'h1650: romdata_int = 'ha79;
5730
    'h1651: romdata_int = 'h1c52;
5731
    'h1652: romdata_int = 'h2c00;
5732
    'h1653: romdata_int = 'h2c4b;
5733
    'h1654: romdata_int = 'h309f;
5734
    'h1655: romdata_int = 'h5000;
5735
    'h1656: romdata_int = 'h5a21;
5736
    'h1657: romdata_int = 'h6680;
5737
    'h1658: romdata_int = 'h7400;
5738
    'h1659: romdata_int = 'h7a41;
5739
    'h165a: romdata_int = 'h88f6;
5740
    'h165b: romdata_int = 'h9800;
5741
    'h165c: romdata_int = 'h9aa1;
5742
    'h165d: romdata_int = 'ha081;
5743
    'h165e: romdata_int = 'hb462;
5744
    'h165f: romdata_int = 'hb4b4;
5745
    'h1660: romdata_int = 'hbc00;
5746
    'h1661: romdata_int = 'he000;
5747
    'h1662: romdata_int = 'he4b4;
5748
    'h1663: romdata_int = 'he6df;
5749
    'h1664: romdata_int = 'hfd44;
5750
    'h1665: romdata_int = 'h10400;
5751
    'h1666: romdata_int = 'h10cc2;
5752
    'h1667: romdata_int = 'h12800;
5753
    'h1668: romdata_int = 'h13115;
5754
    'h1669: romdata_int = 'h1372a;
5755
    'h166a: romdata_int = 'h5b12; // Line descriptor for 9_10
5756
    'h166b: romdata_int = 'ha00;
5757
    'h166c: romdata_int = 'h1091;
5758
    'h166d: romdata_int = 'h16a4;
5759
    'h166e: romdata_int = 'h20e7;
5760
    'h166f: romdata_int = 'h252c;
5761
    'h1670: romdata_int = 'h2e00;
5762
    'h1671: romdata_int = 'h40ee;
5763
    'h1672: romdata_int = 'h5200;
5764
    'h1673: romdata_int = 'h5c1a;
5765
    'h1674: romdata_int = 'h5d2c;
5766
    'h1675: romdata_int = 'h7600;
5767
    'h1676: romdata_int = 'h7733;
5768
    'h1677: romdata_int = 'h7a07;
5769
    'h1678: romdata_int = 'h94f2;
5770
    'h1679: romdata_int = 'h94f6;
5771
    'h167a: romdata_int = 'h9a00;
5772
    'h167b: romdata_int = 'hb858;
5773
    'h167c: romdata_int = 'hbe00;
5774
    'h167d: romdata_int = 'hd210;
5775
    'h167e: romdata_int = 'he200;
5776
    'h167f: romdata_int = 'hf2d3;
5777
    'h1680: romdata_int = 'hf4ad;
5778
    'h1681: romdata_int = 'h10600;
5779
    'h1682: romdata_int = 'h1095f;
5780
    'h1683: romdata_int = 'h10b37;
5781
    'h1684: romdata_int = 'h12a00;
5782
    'h1685: romdata_int = 'h12a54;
5783
    'h1686: romdata_int = 'h13e95;
5784
    'h1687: romdata_int = 'h5b12; // Line descriptor for 9_10
5785
    'h1688: romdata_int = 'h42e;
5786
    'h1689: romdata_int = 'ha60;
5787
    'h168a: romdata_int = 'hc00;
5788
    'h168b: romdata_int = 'h1128;
5789
    'h168c: romdata_int = 'h26a6;
5790
    'h168d: romdata_int = 'h2b34;
5791
    'h168e: romdata_int = 'h3000;
5792
    'h168f: romdata_int = 'h50c5;
5793
    'h1690: romdata_int = 'h5400;
5794
    'h1691: romdata_int = 'h683e;
5795
    'h1692: romdata_int = 'h7800;
5796
    'h1693: romdata_int = 'h78fd;
5797
    'h1694: romdata_int = 'h8832;
5798
    'h1695: romdata_int = 'h9c00;
5799
    'h1696: romdata_int = 'h9c97;
5800
    'h1697: romdata_int = 'haf3f;
5801
    'h1698: romdata_int = 'hbb1e;
5802
    'h1699: romdata_int = 'hbe96;
5803
    'h169a: romdata_int = 'hc000;
5804
    'h169b: romdata_int = 'he291;
5805
    'h169c: romdata_int = 'he400;
5806
    'h169d: romdata_int = 'hf317;
5807
    'h169e: romdata_int = 'h10800;
5808
    'h169f: romdata_int = 'h10ec3;
5809
    'h16a0: romdata_int = 'h11691;
5810
    'h16a1: romdata_int = 'h128d6;
5811
    'h16a2: romdata_int = 'h12c00;
5812
    'h16a3: romdata_int = 'h12f25;
5813
    'h16a4: romdata_int = 'h5b12; // Line descriptor for 9_10
5814
    'h16a5: romdata_int = 'h8e;
5815
    'h16a6: romdata_int = 'h30b;
5816
    'h16a7: romdata_int = 'he00;
5817
    'h16a8: romdata_int = 'he79;
5818
    'h16a9: romdata_int = 'h312d;
5819
    'h16aa: romdata_int = 'h3200;
5820
    'h16ab: romdata_int = 'h3911;
5821
    'h16ac: romdata_int = 'h48e7;
5822
    'h16ad: romdata_int = 'h5332;
5823
    'h16ae: romdata_int = 'h5600;
5824
    'h16af: romdata_int = 'h7044;
5825
    'h16b0: romdata_int = 'h74c3;
5826
    'h16b1: romdata_int = 'h7a00;
5827
    'h16b2: romdata_int = 'h9e00;
5828
    'h16b3: romdata_int = 'ha337;
5829
    'h16b4: romdata_int = 'ha956;
5830
    'h16b5: romdata_int = 'hb677;
5831
    'h16b6: romdata_int = 'hb8ee;
5832
    'h16b7: romdata_int = 'hc200;
5833
    'h16b8: romdata_int = 'he600;
5834
    'h16b9: romdata_int = 'he87d;
5835
    'h16ba: romdata_int = 'he8aa;
5836
    'h16bb: romdata_int = 'h10a00;
5837
    'h16bc: romdata_int = 'h10c48;
5838
    'h16bd: romdata_int = 'h1107f;
5839
    'h16be: romdata_int = 'h1291e;
5840
    'h16bf: romdata_int = 'h12e00;
5841
    'h16c0: romdata_int = 'h1384e;
5842
    'h16c1: romdata_int = 'h5b12; // Line descriptor for 9_10
5843
    'h16c2: romdata_int = 'hd3b;
5844
    'h16c3: romdata_int = 'h1000;
5845
    'h16c4: romdata_int = 'h16f3;
5846
    'h16c5: romdata_int = 'h220e;
5847
    'h16c6: romdata_int = 'h3400;
5848
    'h16c7: romdata_int = 'h348f;
5849
    'h16c8: romdata_int = 'h3c4f;
5850
    'h16c9: romdata_int = 'h5800;
5851
    'h16ca: romdata_int = 'h5f06;
5852
    'h16cb: romdata_int = 'h5f21;
5853
    'h16cc: romdata_int = 'h6e2b;
5854
    'h16cd: romdata_int = 'h7c00;
5855
    'h16ce: romdata_int = 'h7e3c;
5856
    'h16cf: romdata_int = 'ha000;
5857
    'h16d0: romdata_int = 'hb0b5;
5858
    'h16d1: romdata_int = 'hb150;
5859
    'h16d2: romdata_int = 'hc400;
5860
    'h16d3: romdata_int = 'hcd55;
5861
    'h16d4: romdata_int = 'hd762;
5862
    'h16d5: romdata_int = 'hda75;
5863
    'h16d6: romdata_int = 'he800;
5864
    'h16d7: romdata_int = 'hec42;
5865
    'h16d8: romdata_int = 'h10652;
5866
    'h16d9: romdata_int = 'h10c00;
5867
    'h16da: romdata_int = 'h11b5a;
5868
    'h16db: romdata_int = 'h12caf;
5869
    'h16dc: romdata_int = 'h13000;
5870
    'h16dd: romdata_int = 'h142bc;
5871
    'h16de: romdata_int = 'h5b12; // Line descriptor for 9_10
5872
    'h16df: romdata_int = 'h404;
5873
    'h16e0: romdata_int = 'h1200;
5874
    'h16e1: romdata_int = 'h1261;
5875
    'h16e2: romdata_int = 'h1ed5;
5876
    'h16e3: romdata_int = 'h2e06;
5877
    'h16e4: romdata_int = 'h3600;
5878
    'h16e5: romdata_int = 'h3641;
5879
    'h16e6: romdata_int = 'h545f;
5880
    'h16e7: romdata_int = 'h562a;
5881
    'h16e8: romdata_int = 'h5a00;
5882
    'h16e9: romdata_int = 'h786b;
5883
    'h16ea: romdata_int = 'h7e00;
5884
    'h16eb: romdata_int = 'h8c8f;
5885
    'h16ec: romdata_int = 'h902f;
5886
    'h16ed: romdata_int = 'ha14d;
5887
    'h16ee: romdata_int = 'ha200;
5888
    'h16ef: romdata_int = 'hc226;
5889
    'h16f0: romdata_int = 'hc600;
5890
    'h16f1: romdata_int = 'hd54a;
5891
    'h16f2: romdata_int = 'hea00;
5892
    'h16f3: romdata_int = 'hf0fe;
5893
    'h16f4: romdata_int = 'hf709;
5894
    'h16f5: romdata_int = 'h10156;
5895
    'h16f6: romdata_int = 'h10e00;
5896
    'h16f7: romdata_int = 'h118b5;
5897
    'h16f8: romdata_int = 'h12285;
5898
    'h16f9: romdata_int = 'h13200;
5899
    'h16fa: romdata_int = 'h14061;
5900
    'h16fb: romdata_int = 'h5b12; // Line descriptor for 9_10
5901
    'h16fc: romdata_int = 'h1400;
5902
    'h16fd: romdata_int = 'h14ae;
5903
    'h16fe: romdata_int = 'h1c3b;
5904
    'h16ff: romdata_int = 'h2283;
5905
    'h1700: romdata_int = 'h3800;
5906
    'h1701: romdata_int = 'h4066;
5907
    'h1702: romdata_int = 'h432a;
5908
    'h1703: romdata_int = 'h4c30;
5909
    'h1704: romdata_int = 'h5c00;
5910
    'h1705: romdata_int = 'h66ab;
5911
    'h1706: romdata_int = 'h6d46;
5912
    'h1707: romdata_int = 'h8000;
5913
    'h1708: romdata_int = 'h869a;
5914
    'h1709: romdata_int = 'h92dc;
5915
    'h170a: romdata_int = 'ha400;
5916
    'h170b: romdata_int = 'hb2b8;
5917
    'h170c: romdata_int = 'hba45;
5918
    'h170d: romdata_int = 'hc800;
5919
    'h170e: romdata_int = 'hd11d;
5920
    'h170f: romdata_int = 'hd904;
5921
    'h1710: romdata_int = 'he438;
5922
    'h1711: romdata_int = 'hec00;
5923
    'h1712: romdata_int = 'h108e0;
5924
    'h1713: romdata_int = 'h11000;
5925
    'h1714: romdata_int = 'h1169c;
5926
    'h1715: romdata_int = 'h13400;
5927
    'h1716: romdata_int = 'h134f2;
5928
    'h1717: romdata_int = 'h14011;
5929
    'h1718: romdata_int = 'h5b12; // Line descriptor for 9_10
5930
    'h1719: romdata_int = 'h2ae;
5931
    'h171a: romdata_int = 'h83a;
5932
    'h171b: romdata_int = 'h1600;
5933
    'h171c: romdata_int = 'h18b0;
5934
    'h171d: romdata_int = 'h3a00;
5935
    'h171e: romdata_int = 'h3a7d;
5936
    'h171f: romdata_int = 'h4462;
5937
    'h1720: romdata_int = 'h4c9b;
5938
    'h1721: romdata_int = 'h5e00;
5939
    'h1722: romdata_int = 'h6008;
5940
    'h1723: romdata_int = 'h6eba;
5941
    'h1724: romdata_int = 'h8200;
5942
    'h1725: romdata_int = 'h860a;
5943
    'h1726: romdata_int = 'h90f8;
5944
    'h1727: romdata_int = 'h92e3;
5945
    'h1728: romdata_int = 'ha600;
5946
    'h1729: romdata_int = 'hca00;
5947
    'h172a: romdata_int = 'hcb1c;
5948
    'h172b: romdata_int = 'hccb8;
5949
    'h172c: romdata_int = 'hde61;
5950
    'h172d: romdata_int = 'hee00;
5951
    'h172e: romdata_int = 'hf0d2;
5952
    'h172f: romdata_int = 'h1047b;
5953
    'h1730: romdata_int = 'h11200;
5954
    'h1731: romdata_int = 'h112d5;
5955
    'h1732: romdata_int = 'h12737;
5956
    'h1733: romdata_int = 'h13600;
5957
    'h1734: romdata_int = 'h1426e;
5958
    'h1735: romdata_int = 'h5b12; // Line descriptor for 9_10
5959
    'h1736: romdata_int = 'hcb9;
5960
    'h1737: romdata_int = 'he1e;
5961
    'h1738: romdata_int = 'h12d5;
5962
    'h1739: romdata_int = 'h1800;
5963
    'h173a: romdata_int = 'h3c00;
5964
    'h173b: romdata_int = 'h3e1a;
5965
    'h173c: romdata_int = 'h46b2;
5966
    'h173d: romdata_int = 'h541c;
5967
    'h173e: romdata_int = 'h6000;
5968
    'h173f: romdata_int = 'h6440;
5969
    'h1740: romdata_int = 'h80f6;
5970
    'h1741: romdata_int = 'h8400;
5971
    'h1742: romdata_int = 'h84c4;
5972
    'h1743: romdata_int = 'h983f;
5973
    'h1744: romdata_int = 'ha636;
5974
    'h1745: romdata_int = 'ha800;
5975
    'h1746: romdata_int = 'hc0ba;
5976
    'h1747: romdata_int = 'hcc00;
5977
    'h1748: romdata_int = 'hd6fc;
5978
    'h1749: romdata_int = 'hdd62;
5979
    'h174a: romdata_int = 'heed9;
5980
    'h174b: romdata_int = 'hf000;
5981
    'h174c: romdata_int = 'h102c4;
5982
    'h174d: romdata_int = 'h10560;
5983
    'h174e: romdata_int = 'h11400;
5984
    'h174f: romdata_int = 'h12058;
5985
    'h1750: romdata_int = 'h13800;
5986
    'h1751: romdata_int = 'h13c55;
5987
    'h1752: romdata_int = 'h5b12; // Line descriptor for 9_10
5988
    'h1753: romdata_int = 'h137;
5989
    'h1754: romdata_int = 'h1a00;
5990
    'h1755: romdata_int = 'h1e62;
5991
    'h1756: romdata_int = 'h1ef2;
5992
    'h1757: romdata_int = 'h285c;
5993
    'h1758: romdata_int = 'h3b4a;
5994
    'h1759: romdata_int = 'h3e00;
5995
    'h175a: romdata_int = 'h56c9;
5996
    'h175b: romdata_int = 'h5b38;
5997
    'h175c: romdata_int = 'h6200;
5998
    'h175d: romdata_int = 'h72ea;
5999
    'h175e: romdata_int = 'h8600;
6000
    'h175f: romdata_int = 'h8a3d;
6001
    'h1760: romdata_int = 'ha457;
6002
    'h1761: romdata_int = 'haa00;
6003
    'h1762: romdata_int = 'hab29;
6004
    'h1763: romdata_int = 'hca33;
6005
    'h1764: romdata_int = 'hce00;
6006
    'h1765: romdata_int = 'hce6b;
6007
    'h1766: romdata_int = 'heaaa;
6008
    'h1767: romdata_int = 'hf200;
6009
    'h1768: romdata_int = 'hfaf3;
6010
    'h1769: romdata_int = 'h1111e;
6011
    'h176a: romdata_int = 'h11423;
6012
    'h176b: romdata_int = 'h11600;
6013
    'h176c: romdata_int = 'h12244;
6014
    'h176d: romdata_int = 'h13a00;
6015
    'h176e: romdata_int = 'h13b39;
6016
    'h176f: romdata_int = 'h5b12; // Line descriptor for 9_10
6017
    'h1770: romdata_int = 'h6e1;
6018
    'h1771: romdata_int = 'hc7c;
6019
    'h1772: romdata_int = 'h14e6;
6020
    'h1773: romdata_int = 'h1c00;
6021
    'h1774: romdata_int = 'h26f2;
6022
    'h1775: romdata_int = 'h2b01;
6023
    'h1776: romdata_int = 'h4000;
6024
    'h1777: romdata_int = 'h529f;
6025
    'h1778: romdata_int = 'h6065;
6026
    'h1779: romdata_int = 'h6400;
6027
    'h177a: romdata_int = 'h82ed;
6028
    'h177b: romdata_int = 'h8800;
6029
    'h177c: romdata_int = 'h8d5d;
6030
    'h177d: romdata_int = 'h9720;
6031
    'h177e: romdata_int = 'ha34c;
6032
    'h177f: romdata_int = 'hac00;
6033
    'h1780: romdata_int = 'hbf0b;
6034
    'h1781: romdata_int = 'hc629;
6035
    'h1782: romdata_int = 'hd000;
6036
    'h1783: romdata_int = 'he242;
6037
    'h1784: romdata_int = 'hf400;
6038
    'h1785: romdata_int = 'hf4c2;
6039
    'h1786: romdata_int = 'hff1c;
6040
    'h1787: romdata_int = 'h11800;
6041
    'h1788: romdata_int = 'h11ca3;
6042
    'h1789: romdata_int = 'h12627;
6043
    'h178a: romdata_int = 'h13339;
6044
    'h178b: romdata_int = 'h13c00;
6045
    'h178c: romdata_int = 'h5b12; // Line descriptor for 9_10
6046
    'h178d: romdata_int = 'h489;
6047
    'h178e: romdata_int = 'h1b50;
6048
    'h178f: romdata_int = 'h1e00;
6049
    'h1790: romdata_int = 'h2318;
6050
    'h1791: romdata_int = 'h2cd9;
6051
    'h1792: romdata_int = 'h3833;
6052
    'h1793: romdata_int = 'h4200;
6053
    'h1794: romdata_int = 'h625d;
6054
    'h1795: romdata_int = 'h627b;
6055
    'h1796: romdata_int = 'h6600;
6056
    'h1797: romdata_int = 'h7ead;
6057
    'h1798: romdata_int = 'h8414;
6058
    'h1799: romdata_int = 'h8a00;
6059
    'h179a: romdata_int = 'ha6f4;
6060
    'h179b: romdata_int = 'haadc;
6061
    'h179c: romdata_int = 'hae00;
6062
    'h179d: romdata_int = 'hc674;
6063
    'h179e: romdata_int = 'hd04f;
6064
    'h179f: romdata_int = 'hd200;
6065
    'h17a0: romdata_int = 'he09b;
6066
    'h17a1: romdata_int = 'he725;
6067
    'h17a2: romdata_int = 'hf600;
6068
    'h17a3: romdata_int = 'h1013d;
6069
    'h17a4: romdata_int = 'h1028a;
6070
    'h17a5: romdata_int = 'h11a00;
6071
    'h17a6: romdata_int = 'h12e92;
6072
    'h17a7: romdata_int = 'h136c5;
6073
    'h17a8: romdata_int = 'h13e00;
6074
    'h17a9: romdata_int = 'h5b12; // Line descriptor for 9_10
6075
    'h17aa: romdata_int = 'h8a1;
6076
    'h17ab: romdata_int = 'h1255;
6077
    'h17ac: romdata_int = 'h1d2b;
6078
    'h17ad: romdata_int = 'h2000;
6079
    'h17ae: romdata_int = 'h2553;
6080
    'h17af: romdata_int = 'h4358;
6081
    'h17b0: romdata_int = 'h4400;
6082
    'h17b1: romdata_int = 'h4aa0;
6083
    'h17b2: romdata_int = 'h6800;
6084
    'h17b3: romdata_int = 'h6b3f;
6085
    'h17b4: romdata_int = 'h76b0;
6086
    'h17b5: romdata_int = 'h833d;
6087
    'h17b6: romdata_int = 'h8c00;
6088
    'h17b7: romdata_int = 'h98f5;
6089
    'h17b8: romdata_int = 'h9ab1;
6090
    'h17b9: romdata_int = 'hb000;
6091
    'h17ba: romdata_int = 'hc4e6;
6092
    'h17bb: romdata_int = 'hcf15;
6093
    'h17bc: romdata_int = 'hd400;
6094
    'h17bd: romdata_int = 'hdf3d;
6095
    'h17be: romdata_int = 'hf800;
6096
    'h17bf: romdata_int = 'hfae2;
6097
    'h17c0: romdata_int = 'hfe3b;
6098
    'h17c1: romdata_int = 'h11c00;
6099
    'h17c2: romdata_int = 'h11c23;
6100
    'h17c3: romdata_int = 'h12a48;
6101
    'h17c4: romdata_int = 'h12c8d;
6102
    'h17c5: romdata_int = 'h14000;
6103
    'h17c6: romdata_int = 'h7b12; // Line descriptor for 9_10
6104
    'h17c7: romdata_int = 'h6ec;
6105
    'h17c8: romdata_int = 'h1534;
6106
    'h17c9: romdata_int = 'h1a4e;
6107
    'h17ca: romdata_int = 'h2200;
6108
    'h17cb: romdata_int = 'h3225;
6109
    'h17cc: romdata_int = 'h334f;
6110
    'h17cd: romdata_int = 'h4600;
6111
    'h17ce: romdata_int = 'h4f35;
6112
    'h17cf: romdata_int = 'h6418;
6113
    'h17d0: romdata_int = 'h6a00;
6114
    'h17d1: romdata_int = 'h6c90;
6115
    'h17d2: romdata_int = 'h8e00;
6116
    'h17d3: romdata_int = 'h8e50;
6117
    'h17d4: romdata_int = 'hac69;
6118
    'h17d5: romdata_int = 'hb200;
6119
    'h17d6: romdata_int = 'hb2e2;
6120
    'h17d7: romdata_int = 'hbd49;
6121
    'h17d8: romdata_int = 'hc051;
6122
    'h17d9: romdata_int = 'hd600;
6123
    'h17da: romdata_int = 'hea89;
6124
    'h17db: romdata_int = 'hf617;
6125
    'h17dc: romdata_int = 'hfa00;
6126
    'h17dd: romdata_int = 'h10a48;
6127
    'h17de: romdata_int = 'h11a20;
6128
    'h17df: romdata_int = 'h11e00;
6129
    'h17e0: romdata_int = 'h138c6;
6130
    'h17e1: romdata_int = 'h13b02;
6131
    'h17e2: romdata_int = 'h14200;
6132
    'h17e3: romdata_int = 'h4124; // Line descriptor for 1_5s
6133
    'h17e4: romdata_int = 'h667;
6134
    'h17e5: romdata_int = 'h4;
6135
    'h17e6: romdata_int = 'h124; // Line descriptor for 1_5s
6136
    'h17e7: romdata_int = 'h6bd;
6137
    'h17e8: romdata_int = 'ha5e;
6138
    'h17e9: romdata_int = 'h124; // Line descriptor for 1_5s
6139
    'h17ea: romdata_int = 'h261;
6140
    'h17eb: romdata_int = 'h334;
6141
    'h17ec: romdata_int = 'h124; // Line descriptor for 1_5s
6142
    'h17ed: romdata_int = 'h4c9;
6143
    'h17ee: romdata_int = 'he41;
6144
    'h17ef: romdata_int = 'h124; // Line descriptor for 1_5s
6145
    'h17f0: romdata_int = 'h2e;
6146
    'h17f1: romdata_int = 'h89;
6147
    'h17f2: romdata_int = 'h124; // Line descriptor for 1_5s
6148
    'h17f3: romdata_int = 'h6a6;
6149
    'h17f4: romdata_int = 'h2e0;
6150
    'h17f5: romdata_int = 'h24; // Line descriptor for 1_5s
6151
    'h17f6: romdata_int = 'h6f2;
6152
    'h17f7: romdata_int = 'h4124; // Line descriptor for 1_5s
6153
    'h17f8: romdata_int = 'h137;
6154
    'h17f9: romdata_int = 'h26b;
6155
    'h17fa: romdata_int = 'h124; // Line descriptor for 1_5s
6156
    'h17fb: romdata_int = 'h4d5;
6157
    'h17fc: romdata_int = 'h477;
6158
    'h17fd: romdata_int = 'h124; // Line descriptor for 1_5s
6159
    'h17fe: romdata_int = 'h92b;
6160
    'h17ff: romdata_int = 'h108a;
6161
    'h1800: romdata_int = 'h124; // Line descriptor for 1_5s
6162
    'h1801: romdata_int = 'h44a;
6163
    'h1802: romdata_int = 'h52b;
6164
    'h1803: romdata_int = 'h124; // Line descriptor for 1_5s
6165
    'h1804: romdata_int = 'hb0;
6166
    'h1805: romdata_int = 'h255;
6167
    'h1806: romdata_int = 'h124; // Line descriptor for 1_5s
6168
    'h1807: romdata_int = 'h688;
6169
    'h1808: romdata_int = 'h1111;
6170
    'h1809: romdata_int = 'h24; // Line descriptor for 1_5s
6171
    'h180a: romdata_int = 'h464;
6172
    'h180b: romdata_int = 'h24; // Line descriptor for 1_5s
6173
    'h180c: romdata_int = 'h10b;
6174
    'h180d: romdata_int = 'h4024; // Line descriptor for 1_5s
6175
    'h180e: romdata_int = 'h86;
6176
    'h180f: romdata_int = 'h124; // Line descriptor for 1_5s
6177
    'h1810: romdata_int = 'h8;
6178
    'h1811: romdata_int = 'h2e6;
6179
    'h1812: romdata_int = 'h124; // Line descriptor for 1_5s
6180
    'h1813: romdata_int = 'h536;
6181
    'h1814: romdata_int = 'h31e;
6182
    'h1815: romdata_int = 'h124; // Line descriptor for 1_5s
6183
    'h1816: romdata_int = 'hb2d;
6184
    'h1817: romdata_int = 'h1161;
6185
    'h1818: romdata_int = 'h124; // Line descriptor for 1_5s
6186
    'h1819: romdata_int = 'h855;
6187
    'h181a: romdata_int = 'h2d5;
6188
    'h181b: romdata_int = 'h24; // Line descriptor for 1_5s
6189
    'h181c: romdata_int = 'h28a;
6190
    'h181d: romdata_int = 'h124; // Line descriptor for 1_5s
6191
    'h181e: romdata_int = 'h722;
6192
    'h181f: romdata_int = 'h462;
6193
    'h1820: romdata_int = 'h124; // Line descriptor for 1_5s
6194
    'h1821: romdata_int = 'hd4d;
6195
    'h1822: romdata_int = 'h65c;
6196
    'h1823: romdata_int = 'h4124; // Line descriptor for 1_5s
6197
    'h1824: romdata_int = 'h9a;
6198
    'h1825: romdata_int = 'ha9f;
6199
    'h1826: romdata_int = 'h124; // Line descriptor for 1_5s
6200
    'h1827: romdata_int = 'h490;
6201
    'h1828: romdata_int = 'h2a5;
6202
    'h1829: romdata_int = 'h124; // Line descriptor for 1_5s
6203
    'h182a: romdata_int = 'h554;
6204
    'h182b: romdata_int = 'h749;
6205
    'h182c: romdata_int = 'h24; // Line descriptor for 1_5s
6206
    'h182d: romdata_int = 'h267;
6207
    'h182e: romdata_int = 'h24; // Line descriptor for 1_5s
6208
    'h182f: romdata_int = 'hd5;
6209
    'h1830: romdata_int = 'h24; // Line descriptor for 1_5s
6210
    'h1831: romdata_int = 'hc25;
6211
    'h1832: romdata_int = 'h124; // Line descriptor for 1_5s
6212
    'h1833: romdata_int = 'h15c;
6213
    'h1834: romdata_int = 'he75;
6214
    'h1835: romdata_int = 'h24; // Line descriptor for 1_5s
6215
    'h1836: romdata_int = 'h727;
6216
    'h1837: romdata_int = 'h4124; // Line descriptor for 1_5s
6217
    'h1838: romdata_int = 'h4b6;
6218
    'h1839: romdata_int = 'hae;
6219
    'h183a: romdata_int = 'h124; // Line descriptor for 1_5s
6220
    'h183b: romdata_int = 'h8d9;
6221
    'h183c: romdata_int = 'h734;
6222
    'h183d: romdata_int = 'h124; // Line descriptor for 1_5s
6223
    'h183e: romdata_int = 'heab;
6224
    'h183f: romdata_int = 'h701;
6225
    'h1840: romdata_int = 'h124; // Line descriptor for 1_5s
6226
    'h1841: romdata_int = 'h4f2;
6227
    'h1842: romdata_int = 'hd52;
6228
    'h1843: romdata_int = 'h2124; // Line descriptor for 1_5s
6229
    'h1844: romdata_int = 'h328;
6230
    'h1845: romdata_int = 'h648;
6231
    'h1846: romdata_int = 'h21e; // Line descriptor for 1_3s
6232
    'h1847: romdata_int = 'h48f;
6233
    'h1848: romdata_int = 'h680;
6234
    'h1849: romdata_int = 'h154b;
6235
    'h184a: romdata_int = 'h421e; // Line descriptor for 1_3s
6236
    'h184b: romdata_int = 'h91d;
6237
    'h184c: romdata_int = 'h2c9;
6238
    'h184d: romdata_int = 'h83d;
6239
    'h184e: romdata_int = 'h21e; // Line descriptor for 1_3s
6240
    'h184f: romdata_int = 'h95d;
6241
    'h1850: romdata_int = 'hcef;
6242
    'h1851: romdata_int = 'h61;
6243
    'h1852: romdata_int = 'h21e; // Line descriptor for 1_3s
6244
    'h1853: romdata_int = 'h67b;
6245
    'h1854: romdata_int = 'h12fc;
6246
    'h1855: romdata_int = 'he0;
6247
    'h1856: romdata_int = 'h21e; // Line descriptor for 1_3s
6248
    'h1857: romdata_int = 'h425;
6249
    'h1858: romdata_int = 'h1839;
6250
    'h1859: romdata_int = 'h1c6f;
6251
    'h185a: romdata_int = 'h21e; // Line descriptor for 1_3s
6252
    'h185b: romdata_int = 'ha32;
6253
    'h185c: romdata_int = 'h277;
6254
    'h185d: romdata_int = 'h6cf;
6255
    'h185e: romdata_int = 'h421e; // Line descriptor for 1_3s
6256
    'h185f: romdata_int = 'h2d5;
6257
    'h1860: romdata_int = 'h6b;
6258
    'h1861: romdata_int = 'h24a;
6259
    'h1862: romdata_int = 'h21e; // Line descriptor for 1_3s
6260
    'h1863: romdata_int = 'h552;
6261
    'h1864: romdata_int = 'h65d;
6262
    'h1865: romdata_int = 'h165a;
6263
    'h1866: romdata_int = 'h21e; // Line descriptor for 1_3s
6264
    'h1867: romdata_int = 'h32b;
6265
    'h1868: romdata_int = 'hb03;
6266
    'h1869: romdata_int = 'h1a81;
6267
    'h186a: romdata_int = 'h21e; // Line descriptor for 1_3s
6268
    'h186b: romdata_int = 'h4ab;
6269
    'h186c: romdata_int = 'h54f;
6270
    'h186d: romdata_int = 'h1740;
6271
    'h186e: romdata_int = 'h21e; // Line descriptor for 1_3s
6272
    'h186f: romdata_int = 'h55;
6273
    'h1870: romdata_int = 'h6ab;
6274
    'h1871: romdata_int = 'h1156;
6275
    'h1872: romdata_int = 'h421e; // Line descriptor for 1_3s
6276
    'h1873: romdata_int = 'he83;
6277
    'h1874: romdata_int = 'h264;
6278
    'h1875: romdata_int = 'h44c;
6279
    'h1876: romdata_int = 'h21e; // Line descriptor for 1_3s
6280
    'h1877: romdata_int = 'he6;
6281
    'h1878: romdata_int = 'h336;
6282
    'h1879: romdata_int = 'habd;
6283
    'h187a: romdata_int = 'h21e; // Line descriptor for 1_3s
6284
    'h187b: romdata_int = 'h18e5;
6285
    'h187c: romdata_int = 'h8b3;
6286
    'h187d: romdata_int = 'h11e;
6287
    'h187e: romdata_int = 'h21e; // Line descriptor for 1_3s
6288
    'h187f: romdata_int = 'h40e;
6289
    'h1880: romdata_int = 'h16a1;
6290
    'h1881: romdata_int = 'h101e;
6291
    'h1882: romdata_int = 'h21e; // Line descriptor for 1_3s
6292
    'h1883: romdata_int = 'h832;
6293
    'h1884: romdata_int = 'h441;
6294
    'h1885: romdata_int = 'hd5;
6295
    'h1886: romdata_int = 'h421e; // Line descriptor for 1_3s
6296
    'h1887: romdata_int = 'h722;
6297
    'h1888: romdata_int = 'h8a;
6298
    'h1889: romdata_int = 'h88f;
6299
    'h188a: romdata_int = 'h21e; // Line descriptor for 1_3s
6300
    'h188b: romdata_int = 'h262;
6301
    'h188c: romdata_int = 'h1d13;
6302
    'h188d: romdata_int = 'h1d51;
6303
    'h188e: romdata_int = 'h21e; // Line descriptor for 1_3s
6304
    'h188f: romdata_int = 'h354;
6305
    'h1890: romdata_int = 'h54d;
6306
    'h1891: romdata_int = 'h14a8;
6307
    'h1892: romdata_int = 'h21e; // Line descriptor for 1_3s
6308
    'h1893: romdata_int = 'ha5;
6309
    'h1894: romdata_int = 'h8a2;
6310
    'h1895: romdata_int = 'h290;
6311
    'h1896: romdata_int = 'h21e; // Line descriptor for 1_3s
6312
    'h1897: romdata_int = 'h8cb;
6313
    'h1898: romdata_int = 'h6b4;
6314
    'h1899: romdata_int = 'hce3;
6315
    'h189a: romdata_int = 'h421e; // Line descriptor for 1_3s
6316
    'h189b: romdata_int = 'h640;
6317
    'h189c: romdata_int = 'hef2;
6318
    'h189d: romdata_int = 'h872;
6319
    'h189e: romdata_int = 'h21e; // Line descriptor for 1_3s
6320
    'h189f: romdata_int = 'h1278;
6321
    'h18a0: romdata_int = 'h698;
6322
    'h18a1: romdata_int = 'h67;
6323
    'h18a2: romdata_int = 'h21e; // Line descriptor for 1_3s
6324
    'h18a3: romdata_int = 'h470;
6325
    'h18a4: romdata_int = 'hcdc;
6326
    'h18a5: romdata_int = 'h618;
6327
    'h18a6: romdata_int = 'h21e; // Line descriptor for 1_3s
6328
    'h18a7: romdata_int = 'h1497;
6329
    'h18a8: romdata_int = 'h8f6;
6330
    'h18a9: romdata_int = 'h538;
6331
    'h18aa: romdata_int = 'h21e; // Line descriptor for 1_3s
6332
    'h18ab: romdata_int = 'h2b6;
6333
    'h18ac: romdata_int = 'h6fd;
6334
    'h18ad: romdata_int = 'he74;
6335
    'h18ae: romdata_int = 'h421e; // Line descriptor for 1_3s
6336
    'h18af: romdata_int = 'hd;
6337
    'h18b0: romdata_int = 'h475;
6338
    'h18b1: romdata_int = 'h8a4;
6339
    'h18b2: romdata_int = 'h21e; // Line descriptor for 1_3s
6340
    'h18b3: romdata_int = 'h1b4d;
6341
    'h18b4: romdata_int = 'h6eb;
6342
    'h18b5: romdata_int = 'h186b;
6343
    'h18b6: romdata_int = 'h21e; // Line descriptor for 1_3s
6344
    'h18b7: romdata_int = 'h2f2;
6345
    'h18b8: romdata_int = 'h1338;
6346
    'h18b9: romdata_int = 'h1a9e;
6347
    'h18ba: romdata_int = 'h221e; // Line descriptor for 1_3s
6348
    'h18bb: romdata_int = 'h128;
6349
    'h18bc: romdata_int = 'h93e;
6350
    'h18bd: romdata_int = 'h1120;
6351
    'h18be: romdata_int = 'h31b; // Line descriptor for 2_5s
6352
    'h18bf: romdata_int = 'h6a4;
6353
    'h18c0: romdata_int = 'h80c;
6354
    'h18c1: romdata_int = 'hb67;
6355
    'h18c2: romdata_int = 'h234a;
6356
    'h18c3: romdata_int = 'h431b; // Line descriptor for 2_5s
6357
    'h18c4: romdata_int = 'h2ba;
6358
    'h18c5: romdata_int = 'h68c;
6359
    'h18c6: romdata_int = 'h10ac;
6360
    'h18c7: romdata_int = 'h1cf9;
6361
    'h18c8: romdata_int = 'h31b; // Line descriptor for 2_5s
6362
    'h18c9: romdata_int = 'h144;
6363
    'h18ca: romdata_int = 'ha43;
6364
    'h18cb: romdata_int = 'ha74;
6365
    'h18cc: romdata_int = 'h1ac6;
6366
    'h18cd: romdata_int = 'h31b; // Line descriptor for 2_5s
6367
    'h18ce: romdata_int = 'h300;
6368
    'h18cf: romdata_int = 'h445;
6369
    'h18d0: romdata_int = 'h451;
6370
    'h18d1: romdata_int = 'h1cd1;
6371
    'h18d2: romdata_int = 'h31b; // Line descriptor for 2_5s
6372
    'h18d3: romdata_int = 'h698;
6373
    'h18d4: romdata_int = 'hc35;
6374
    'h18d5: romdata_int = 'h113b;
6375
    'h18d6: romdata_int = 'h20bd;
6376
    'h18d7: romdata_int = 'h431b; // Line descriptor for 2_5s
6377
    'h18d8: romdata_int = 'h8d9;
6378
    'h18d9: romdata_int = 'h924;
6379
    'h18da: romdata_int = 'hc3d;
6380
    'h18db: romdata_int = 'h18b7;
6381
    'h18dc: romdata_int = 'h31b; // Line descriptor for 2_5s
6382
    'h18dd: romdata_int = 'h6fc;
6383
    'h18de: romdata_int = 'h54c;
6384
    'h18df: romdata_int = 'h8ed;
6385
    'h18e0: romdata_int = 'h1b54;
6386
    'h18e1: romdata_int = 'h31b; // Line descriptor for 2_5s
6387
    'h18e2: romdata_int = 'ha95;
6388
    'h18e3: romdata_int = 'h759;
6389
    'h18e4: romdata_int = 'hd1;
6390
    'h18e5: romdata_int = 'h1911;
6391
    'h18e6: romdata_int = 'h31b; // Line descriptor for 2_5s
6392
    'h18e7: romdata_int = 'h4e3;
6393
    'h18e8: romdata_int = 'h89d;
6394
    'h18e9: romdata_int = 'h6d9;
6395
    'h18ea: romdata_int = 'h134c;
6396
    'h18eb: romdata_int = 'h431b; // Line descriptor for 2_5s
6397
    'h18ec: romdata_int = 'h14f4;
6398
    'h18ed: romdata_int = 'ha5d;
6399
    'h18ee: romdata_int = 'h1b;
6400
    'h18ef: romdata_int = 'hef2;
6401
    'h18f0: romdata_int = 'h31b; // Line descriptor for 2_5s
6402
    'h18f1: romdata_int = 'h20f;
6403
    'h18f2: romdata_int = 'h2233;
6404
    'h18f3: romdata_int = 'habe;
6405
    'h18f4: romdata_int = 'h100;
6406
    'h18f5: romdata_int = 'h31b; // Line descriptor for 2_5s
6407
    'h18f6: romdata_int = 'h1ea4;
6408
    'h18f7: romdata_int = 'h23e;
6409
    'h18f8: romdata_int = 'h808;
6410
    'h18f9: romdata_int = 'ha47;
6411
    'h18fa: romdata_int = 'h31b; // Line descriptor for 2_5s
6412
    'h18fb: romdata_int = 'h41;
6413
    'h18fc: romdata_int = 'h99;
6414
    'h18fd: romdata_int = 'h547;
6415
    'h18fe: romdata_int = 'h12d6;
6416
    'h18ff: romdata_int = 'h431b; // Line descriptor for 2_5s
6417
    'h1900: romdata_int = 'ha52;
6418
    'h1901: romdata_int = 'h260;
6419
    'h1902: romdata_int = 'h653;
6420
    'h1903: romdata_int = 'h168e;
6421
    'h1904: romdata_int = 'h31b; // Line descriptor for 2_5s
6422
    'h1905: romdata_int = 'h447;
6423
    'h1906: romdata_int = 'ha12;
6424
    'h1907: romdata_int = 'h17;
6425
    'h1908: romdata_int = 'h2262;
6426
    'h1909: romdata_int = 'h31b; // Line descriptor for 2_5s
6427
    'h190a: romdata_int = 'h241;
6428
    'h190b: romdata_int = 'h1757;
6429
    'h190c: romdata_int = 'h41c;
6430
    'h190d: romdata_int = 'hb59;
6431
    'h190e: romdata_int = 'h31b; // Line descriptor for 2_5s
6432
    'h190f: romdata_int = 'h15;
6433
    'h1910: romdata_int = 'hf6;
6434
    'h1911: romdata_int = 'h1941;
6435
    'h1912: romdata_int = 'h520;
6436
    'h1913: romdata_int = 'h431b; // Line descriptor for 2_5s
6437
    'h1914: romdata_int = 'h718;
6438
    'h1915: romdata_int = 'h71f;
6439
    'h1916: romdata_int = 'hd2e;
6440
    'h1917: romdata_int = 'h1442;
6441
    'h1918: romdata_int = 'h31b; // Line descriptor for 2_5s
6442
    'h1919: romdata_int = 'hab2;
6443
    'h191a: romdata_int = 'h1695;
6444
    'h191b: romdata_int = 'he7c;
6445
    'h191c: romdata_int = 'h730;
6446
    'h191d: romdata_int = 'h31b; // Line descriptor for 2_5s
6447
    'h191e: romdata_int = 'h215d;
6448
    'h191f: romdata_int = 'h2cf;
6449
    'h1920: romdata_int = 'h946;
6450
    'h1921: romdata_int = 'he8a;
6451
    'h1922: romdata_int = 'h31b; // Line descriptor for 2_5s
6452
    'h1923: romdata_int = 'h8a1;
6453
    'h1924: romdata_int = 'h21f;
6454
    'h1925: romdata_int = 'h1ea6;
6455
    'h1926: romdata_int = 'h442;
6456
    'h1927: romdata_int = 'h431b; // Line descriptor for 2_5s
6457
    'h1928: romdata_int = 'h31;
6458
    'h1929: romdata_int = 'h14a3;
6459
    'h192a: romdata_int = 'h965;
6460
    'h192b: romdata_int = 'h276;
6461
    'h192c: romdata_int = 'h31b; // Line descriptor for 2_5s
6462
    'h192d: romdata_int = 'h420;
6463
    'h192e: romdata_int = 'h50c;
6464
    'h192f: romdata_int = 'h1a49;
6465
    'h1930: romdata_int = 'h8b0;
6466
    'h1931: romdata_int = 'h31b; // Line descriptor for 2_5s
6467
    'h1932: romdata_int = 'h1f39;
6468
    'h1933: romdata_int = 'h6c7;
6469
    'h1934: romdata_int = 'h493;
6470
    'h1935: romdata_int = 'ha1d;
6471
    'h1936: romdata_int = 'h31b; // Line descriptor for 2_5s
6472
    'h1937: romdata_int = 'hf8;
6473
    'h1938: romdata_int = 'h328;
6474
    'h1939: romdata_int = 'h820;
6475
    'h193a: romdata_int = 'h1d4d;
6476
    'h193b: romdata_int = 'h431b; // Line descriptor for 2_5s
6477
    'h193c: romdata_int = 'h746;
6478
    'h193d: romdata_int = 'h12a;
6479
    'h193e: romdata_int = 'h1157;
6480
    'h193f: romdata_int = 'h210f;
6481
    'h1940: romdata_int = 'h231b; // Line descriptor for 2_5s
6482
    'h1941: romdata_int = 'h2d2;
6483
    'h1942: romdata_int = 'h303;
6484
    'h1943: romdata_int = 'h94b;
6485
    'h1944: romdata_int = 'h1311;
6486
    'h1945: romdata_int = 'h219; // Line descriptor for 4_9s
6487
    'h1946: romdata_int = 'ha00;
6488
    'h1947: romdata_int = 'h129a;
6489
    'h1948: romdata_int = 'h268a;
6490
    'h1949: romdata_int = 'h319; // Line descriptor for 4_9s
6491
    'h194a: romdata_int = 'h2eb;
6492
    'h194b: romdata_int = 'h425;
6493
    'h194c: romdata_int = 'h4e4;
6494
    'h194d: romdata_int = 'hc00;
6495
    'h194e: romdata_int = 'h4419; // Line descriptor for 4_9s
6496
    'h194f: romdata_int = 'h8f8;
6497
    'h1950: romdata_int = 'h670;
6498
    'h1951: romdata_int = 'h68f;
6499
    'h1952: romdata_int = 'h1d3b;
6500
    'h1953: romdata_int = 'he00;
6501
    'h1954: romdata_int = 'h319; // Line descriptor for 4_9s
6502
    'h1955: romdata_int = 'h2fd;
6503
    'h1956: romdata_int = 'h850;
6504
    'h1957: romdata_int = 'h1000;
6505
    'h1958: romdata_int = 'h2279;
6506
    'h1959: romdata_int = 'h119; // Line descriptor for 4_9s
6507
    'h195a: romdata_int = 'hfe;
6508
    'h195b: romdata_int = 'h1200;
6509
    'h195c: romdata_int = 'h219; // Line descriptor for 4_9s
6510
    'h195d: romdata_int = 'h1a7b;
6511
    'h195e: romdata_int = 'h1400;
6512
    'h195f: romdata_int = 'hc72;
6513
    'h1960: romdata_int = 'h119; // Line descriptor for 4_9s
6514
    'h1961: romdata_int = 'h1600;
6515
    'h1962: romdata_int = 'h1ab8;
6516
    'h1963: romdata_int = 'h4219; // Line descriptor for 4_9s
6517
    'h1964: romdata_int = 'h48c;
6518
    'h1965: romdata_int = 'h1800;
6519
    'h1966: romdata_int = 'h242a;
6520
    'h1967: romdata_int = 'h319; // Line descriptor for 4_9s
6521
    'h1968: romdata_int = 'h1cb9;
6522
    'h1969: romdata_int = 'hce;
6523
    'h196a: romdata_int = 'h102e;
6524
    'h196b: romdata_int = 'h1a00;
6525
    'h196c: romdata_int = 'h219; // Line descriptor for 4_9s
6526
    'h196d: romdata_int = 'h1e9b;
6527
    'h196e: romdata_int = 'h1c00;
6528
    'h196f: romdata_int = 'hb15;
6529
    'h1970: romdata_int = 'h219; // Line descriptor for 4_9s
6530
    'h1971: romdata_int = 'h82f;
6531
    'h1972: romdata_int = 'h2165;
6532
    'h1973: romdata_int = 'h1e00;
6533
    'h1974: romdata_int = 'h319; // Line descriptor for 4_9s
6534
    'h1975: romdata_int = 'h5f;
6535
    'h1976: romdata_int = 'ha2;
6536
    'h1977: romdata_int = 'h2728;
6537
    'h1978: romdata_int = 'h2000;
6538
    'h1979: romdata_int = 'h4319; // Line descriptor for 4_9s
6539
    'h197a: romdata_int = 'he08;
6540
    'h197b: romdata_int = 'h2200;
6541
    'h197c: romdata_int = 'h2a;
6542
    'h197d: romdata_int = 'h1c;
6543
    'h197e: romdata_int = 'h319; // Line descriptor for 4_9s
6544
    'h197f: romdata_int = 'h461;
6545
    'h1980: romdata_int = 'h832;
6546
    'h1981: romdata_int = 'h2400;
6547
    'h1982: romdata_int = 'h24ef;
6548
    'h1983: romdata_int = 'h319; // Line descriptor for 4_9s
6549
    'h1984: romdata_int = 'h27b;
6550
    'h1985: romdata_int = 'h1089;
6551
    'h1986: romdata_int = 'h221e;
6552
    'h1987: romdata_int = 'h2600;
6553
    'h1988: romdata_int = 'h219; // Line descriptor for 4_9s
6554
    'h1989: romdata_int = 'h47f;
6555
    'h198a: romdata_int = 'h8cd;
6556
    'h198b: romdata_int = 'h140b;
6557
    'h198c: romdata_int = 'h119; // Line descriptor for 4_9s
6558
    'h198d: romdata_int = 'h62b;
6559
    'h198e: romdata_int = 'hc02;
6560
    'h198f: romdata_int = 'h4319; // Line descriptor for 4_9s
6561
    'h1990: romdata_int = 'h8bd;
6562
    'h1991: romdata_int = 'h163a;
6563
    'h1992: romdata_int = 'h1859;
6564
    'h1993: romdata_int = 'h6ba;
6565
    'h1994: romdata_int = 'h219; // Line descriptor for 4_9s
6566
    'h1995: romdata_int = 'h265;
6567
    'h1996: romdata_int = 'h493;
6568
    'h1997: romdata_int = 'h1f12;
6569
    'h1998: romdata_int = 'h119; // Line descriptor for 4_9s
6570
    'h1999: romdata_int = 'hf0b;
6571
    'h199a: romdata_int = 'h40a;
6572
    'h199b: romdata_int = 'h319; // Line descriptor for 4_9s
6573
    'h199c: romdata_int = 'h0;
6574
    'h199d: romdata_int = 'hc9;
6575
    'h199e: romdata_int = 'h6b4;
6576
    'h199f: romdata_int = 'h1938;
6577
    'h19a0: romdata_int = 'h219; // Line descriptor for 4_9s
6578
    'h19a1: romdata_int = 'h200;
6579
    'h19a2: romdata_int = 'haa1;
6580
    'h19a3: romdata_int = 'h20c7;
6581
    'h19a4: romdata_int = 'h4319; // Line descriptor for 4_9s
6582
    'h19a5: romdata_int = 'h903;
6583
    'h19a6: romdata_int = 'h400;
6584
    'h19a7: romdata_int = 'h2c0;
6585
    'h19a8: romdata_int = 'h12b0;
6586
    'h19a9: romdata_int = 'h219; // Line descriptor for 4_9s
6587
    'h19aa: romdata_int = 'h2e5;
6588
    'h19ab: romdata_int = 'h600;
6589
    'h19ac: romdata_int = 'h25d;
6590
    'h19ad: romdata_int = 'h2419; // Line descriptor for 4_9s
6591
    'h19ae: romdata_int = 'h16a1;
6592
    'h19af: romdata_int = 'h14ec;
6593
    'h19b0: romdata_int = 'h800;
6594
    'h19b1: romdata_int = 'h6b1;
6595
    'h19b2: romdata_int = 'h6d4;
6596
    'h19b3: romdata_int = 'h4812; // Line descriptor for 3_5s
6597
    'h19b4: romdata_int = 'h165;
6598
    'h19b5: romdata_int = 'h4ac;
6599
    'h19b6: romdata_int = 'h6ee;
6600
    'h19b7: romdata_int = 'hcd4;
6601
    'h19b8: romdata_int = 'h1016;
6602
    'h19b9: romdata_int = 'h1077;
6603
    'h19ba: romdata_int = 'h1200;
6604
    'h19bb: romdata_int = 'h168b;
6605
    'h19bc: romdata_int = 'h250f;
6606
    'h19bd: romdata_int = 'h812; // Line descriptor for 3_5s
6607
    'h19be: romdata_int = 'h313;
6608
    'h19bf: romdata_int = 'h567;
6609
    'h19c0: romdata_int = 'h854;
6610
    'h19c1: romdata_int = 'ha6f;
6611
    'h19c2: romdata_int = 'hd42;
6612
    'h19c3: romdata_int = 'h10e2;
6613
    'h19c4: romdata_int = 'h1278;
6614
    'h19c5: romdata_int = 'h1400;
6615
    'h19c6: romdata_int = 'h34e8;
6616
    'h19c7: romdata_int = 'h4812; // Line descriptor for 3_5s
6617
    'h19c8: romdata_int = 'h216;
6618
    'h19c9: romdata_int = 'h4df;
6619
    'h19ca: romdata_int = 'h55f;
6620
    'h19cb: romdata_int = 'hc5a;
6621
    'h19cc: romdata_int = 'h1031;
6622
    'h19cd: romdata_int = 'h113a;
6623
    'h19ce: romdata_int = 'h1600;
6624
    'h19cf: romdata_int = 'h2035;
6625
    'h19d0: romdata_int = 'h32fd;
6626
    'h19d1: romdata_int = 'h812; // Line descriptor for 3_5s
6627
    'h19d2: romdata_int = 'h228;
6628
    'h19d3: romdata_int = 'h337;
6629
    'h19d4: romdata_int = 'h43e;
6630
    'h19d5: romdata_int = 'h958;
6631
    'h19d6: romdata_int = 'haab;
6632
    'h19d7: romdata_int = 'hb47;
6633
    'h19d8: romdata_int = 'h1451;
6634
    'h19d9: romdata_int = 'h1800;
6635
    'h19da: romdata_int = 'h2cea;
6636
    'h19db: romdata_int = 'h4812; // Line descriptor for 3_5s
6637
    'h19dc: romdata_int = 'h1e;
6638
    'h19dd: romdata_int = 'h79;
6639
    'h19de: romdata_int = 'h245;
6640
    'h19df: romdata_int = 'h109d;
6641
    'h19e0: romdata_int = 'h1063;
6642
    'h19e1: romdata_int = 'h1107;
6643
    'h19e2: romdata_int = 'h1a00;
6644
    'h19e3: romdata_int = 'h233c;
6645
    'h19e4: romdata_int = 'h30bc;
6646
    'h19e5: romdata_int = 'h812; // Line descriptor for 3_5s
6647
    'h19e6: romdata_int = 'h10b;
6648
    'h19e7: romdata_int = 'h291;
6649
    'h19e8: romdata_int = 'h61f;
6650
    'h19e9: romdata_int = 'h708;
6651
    'h19ea: romdata_int = 'h803;
6652
    'h19eb: romdata_int = 'he3e;
6653
    'h19ec: romdata_int = 'h1c00;
6654
    'h19ed: romdata_int = 'h1c60;
6655
    'h19ee: romdata_int = 'h2aa1;
6656
    'h19ef: romdata_int = 'h4812; // Line descriptor for 3_5s
6657
    'h19f0: romdata_int = 'h4c;
6658
    'h19f1: romdata_int = 'h526;
6659
    'h19f2: romdata_int = 'ha94;
6660
    'h19f3: romdata_int = 'haf2;
6661
    'h19f4: romdata_int = 'he79;
6662
    'h19f5: romdata_int = 'h104b;
6663
    'h19f6: romdata_int = 'h1e00;
6664
    'h19f7: romdata_int = 'h1ed2;
6665
    'h19f8: romdata_int = 'h2f21;
6666
    'h19f9: romdata_int = 'h812; // Line descriptor for 3_5s
6667
    'h19fa: romdata_int = 'h13d;
6668
    'h19fb: romdata_int = 'h512;
6669
    'h19fc: romdata_int = 'h6af;
6670
    'h19fd: romdata_int = 'h8e9;
6671
    'h19fe: romdata_int = 'hc2d;
6672
    'h19ff: romdata_int = 'hc80;
6673
    'h1a00: romdata_int = 'h1293;
6674
    'h1a01: romdata_int = 'h2000;
6675
    'h1a02: romdata_int = 'h26b4;
6676
    'h1a03: romdata_int = 'h4812; // Line descriptor for 3_5s
6677
    'h1a04: romdata_int = 'hef;
6678
    'h1a05: romdata_int = 'had3;
6679
    'h1a06: romdata_int = 'hca1;
6680
    'h1a07: romdata_int = 'hd32;
6681
    'h1a08: romdata_int = 'hf0f;
6682
    'h1a09: romdata_int = 'hf39;
6683
    'h1a0a: romdata_int = 'h20ee;
6684
    'h1a0b: romdata_int = 'h2200;
6685
    'h1a0c: romdata_int = 'h2ac7;
6686
    'h1a0d: romdata_int = 'h812; // Line descriptor for 3_5s
6687
    'h1a0e: romdata_int = 'h6e7;
6688
    'h1a0f: romdata_int = 'h8a6;
6689
    'h1a10: romdata_int = 'h8d3;
6690
    'h1a11: romdata_int = 'hb1d;
6691
    'h1a12: romdata_int = 'hb2c;
6692
    'h1a13: romdata_int = 'hcb6;
6693
    'h1a14: romdata_int = 'h1b4c;
6694
    'h1a15: romdata_int = 'h2400;
6695
    'h1a16: romdata_int = 'h2f05;
6696
    'h1a17: romdata_int = 'h4812; // Line descriptor for 3_5s
6697
    'h1a18: romdata_int = 'hbc;
6698
    'h1a19: romdata_int = 'h55a;
6699
    'h1a1a: romdata_int = 'h6bd;
6700
    'h1a1b: romdata_int = 'h8f8;
6701
    'h1a1c: romdata_int = 'hacf;
6702
    'h1a1d: romdata_int = 'hf4e;
6703
    'h1a1e: romdata_int = 'h1e6b;
6704
    'h1a1f: romdata_int = 'h2600;
6705
    'h1a20: romdata_int = 'h2c8e;
6706
    'h1a21: romdata_int = 'h812; // Line descriptor for 3_5s
6707
    'h1a22: romdata_int = 'h99;
6708
    'h1a23: romdata_int = 'h672;
6709
    'h1a24: romdata_int = 'h687;
6710
    'h1a25: romdata_int = 'h85f;
6711
    'h1a26: romdata_int = 'hc30;
6712
    'h1a27: romdata_int = 'he81;
6713
    'h1a28: romdata_int = 'h18dc;
6714
    'h1a29: romdata_int = 'h2800;
6715
    'h1a2a: romdata_int = 'h2908;
6716
    'h1a2b: romdata_int = 'h4812; // Line descriptor for 3_5s
6717
    'h1a2c: romdata_int = 'h27a;
6718
    'h1a2d: romdata_int = 'h2a2;
6719
    'h1a2e: romdata_int = 'h40b;
6720
    'h1a2f: romdata_int = 'h73a;
6721
    'h1a30: romdata_int = 'hb62;
6722
    'h1a31: romdata_int = 'he66;
6723
    'h1a32: romdata_int = 'h144c;
6724
    'h1a33: romdata_int = 'h2a00;
6725
    'h1a34: romdata_int = 'h3510;
6726
    'h1a35: romdata_int = 'h812; // Line descriptor for 3_5s
6727
    'h1a36: romdata_int = 'h20b;
6728
    'h1a37: romdata_int = 'h20e;
6729
    'h1a38: romdata_int = 'hb42;
6730
    'h1a39: romdata_int = 'he5e;
6731
    'h1a3a: romdata_int = 'hec7;
6732
    'h1a3b: romdata_int = 'h10d9;
6733
    'h1a3c: romdata_int = 'h183a;
6734
    'h1a3d: romdata_int = 'h28da;
6735
    'h1a3e: romdata_int = 'h2c00;
6736
    'h1a3f: romdata_int = 'h4812; // Line descriptor for 3_5s
6737
    'h1a40: romdata_int = 'h9d;
6738
    'h1a41: romdata_int = 'hc7;
6739
    'h1a42: romdata_int = 'h506;
6740
    'h1a43: romdata_int = 'h6db;
6741
    'h1a44: romdata_int = 'h817;
6742
    'h1a45: romdata_int = 'heeb;
6743
    'h1a46: romdata_int = 'h1b50;
6744
    'h1a47: romdata_int = 'h240e;
6745
    'h1a48: romdata_int = 'h2e00;
6746
    'h1a49: romdata_int = 'h812; // Line descriptor for 3_5s
6747
    'h1a4a: romdata_int = 'h2a;
6748
    'h1a4b: romdata_int = 'h33e;
6749
    'h1a4c: romdata_int = 'h74f;
6750
    'h1a4d: romdata_int = 'hc45;
6751
    'h1a4e: romdata_int = 'hcc4;
6752
    'h1a4f: romdata_int = 'hf2c;
6753
    'h1a50: romdata_int = 'h22bd;
6754
    'h1a51: romdata_int = 'h3000;
6755
    'h1a52: romdata_int = 'h331b;
6756
    'h1a53: romdata_int = 'h4812; // Line descriptor for 3_5s
6757
    'h1a54: romdata_int = 'h4ed;
6758
    'h1a55: romdata_int = 'h75f;
6759
    'h1a56: romdata_int = 'h886;
6760
    'h1a57: romdata_int = 'h828;
6761
    'h1a58: romdata_int = 'h906;
6762
    'h1a59: romdata_int = 'hafb;
6763
    'h1a5a: romdata_int = 'h1d4e;
6764
    'h1a5b: romdata_int = 'h30da;
6765
    'h1a5c: romdata_int = 'h3200;
6766
    'h1a5d: romdata_int = 'h2812; // Line descriptor for 3_5s
6767
    'h1a5e: romdata_int = 'h34c;
6768
    'h1a5f: romdata_int = 'h540;
6769
    'h1a60: romdata_int = 'hc42;
6770
    'h1a61: romdata_int = 'he0f;
6771
    'h1a62: romdata_int = 'h1047;
6772
    'h1a63: romdata_int = 'h10a3;
6773
    'h1a64: romdata_int = 'h16cd;
6774
    'h1a65: romdata_int = 'h2710;
6775
    'h1a66: romdata_int = 'h3400;
6776
    'h1a67: romdata_int = 'h470f; // Line descriptor for 2_3s
6777
    'h1a68: romdata_int = 'h0;
6778
    'h1a69: romdata_int = 'h134;
6779
    'h1a6a: romdata_int = 'h2c0;
6780
    'h1a6b: romdata_int = 'h162f;
6781
    'h1a6c: romdata_int = 'h16bd;
6782
    'h1a6d: romdata_int = 'h1e00;
6783
    'h1a6e: romdata_int = 'h2443;
6784
    'h1a6f: romdata_int = 'h2e68;
6785
    'h1a70: romdata_int = 'h70f; // Line descriptor for 2_3s
6786
    'h1a71: romdata_int = 'hd5;
6787
    'h1a72: romdata_int = 'he6;
6788
    'h1a73: romdata_int = 'h200;
6789
    'h1a74: romdata_int = 'h265;
6790
    'h1a75: romdata_int = 'h1533;
6791
    'h1a76: romdata_int = 'h1ea9;
6792
    'h1a77: romdata_int = 'h2000;
6793
    'h1a78: romdata_int = 'h2c0f;
6794
    'h1a79: romdata_int = 'h470f; // Line descriptor for 2_3s
6795
    'h1a7a: romdata_int = 'h208;
6796
    'h1a7b: romdata_int = 'h27b;
6797
    'h1a7c: romdata_int = 'h400;
6798
    'h1a7d: romdata_int = 'h407;
6799
    'h1a7e: romdata_int = 'h88f;
6800
    'h1a7f: romdata_int = 'h2200;
6801
    'h1a80: romdata_int = 'h2755;
6802
    'h1a81: romdata_int = 'h2a17;
6803
    'h1a82: romdata_int = 'h70f; // Line descriptor for 2_3s
6804
    'h1a83: romdata_int = 'h67;
6805
    'h1a84: romdata_int = 'h2cf;
6806
    'h1a85: romdata_int = 'h600;
6807
    'h1a86: romdata_int = 'he10;
6808
    'h1a87: romdata_int = 'h1c74;
6809
    'h1a88: romdata_int = 'h20ac;
6810
    'h1a89: romdata_int = 'h2400;
6811
    'h1a8a: romdata_int = 'h32c3;
6812
    'h1a8b: romdata_int = 'h470f; // Line descriptor for 2_3s
6813
    'h1a8c: romdata_int = 'h2eb;
6814
    'h1a8d: romdata_int = 'h2fd;
6815
    'h1a8e: romdata_int = 'h411;
6816
    'h1a8f: romdata_int = 'h6eb;
6817
    'h1a90: romdata_int = 'h800;
6818
    'h1a91: romdata_int = 'h2600;
6819
    'h1a92: romdata_int = 'h3870;
6820
    'h1a93: romdata_int = 'h3a4b;
6821
    'h1a94: romdata_int = 'h70f; // Line descriptor for 2_3s
6822
    'h1a95: romdata_int = 'h61;
6823
    'h1a96: romdata_int = 'h4d0;
6824
    'h1a97: romdata_int = 'ha00;
6825
    'h1a98: romdata_int = 'ha7d;
6826
    'h1a99: romdata_int = 'hd47;
6827
    'h1a9a: romdata_int = 'h2800;
6828
    'h1a9b: romdata_int = 'h306d;
6829
    'h1a9c: romdata_int = 'h362a;
6830
    'h1a9d: romdata_int = 'h470f; // Line descriptor for 2_3s
6831
    'h1a9e: romdata_int = 'ha5;
6832
    'h1a9f: romdata_int = 'h50a;
6833
    'h1aa0: romdata_int = 'hb42;
6834
    'h1aa1: romdata_int = 'hc00;
6835
    'h1aa2: romdata_int = 'h18e4;
6836
    'h1aa3: romdata_int = 'h2a00;
6837
    'h1aa4: romdata_int = 'h2d1e;
6838
    'h1aa5: romdata_int = 'h380f;
6839
    'h1aa6: romdata_int = 'h70f; // Line descriptor for 2_3s
6840
    'h1aa7: romdata_int = 'h11e;
6841
    'h1aa8: romdata_int = 'h560;
6842
    'h1aa9: romdata_int = 'he00;
6843
    'h1aaa: romdata_int = 'h1290;
6844
    'h1aab: romdata_int = 'h12a7;
6845
    'h1aac: romdata_int = 'h275b;
6846
    'h1aad: romdata_int = 'h2c00;
6847
    'h1aae: romdata_int = 'h2e20;
6848
    'h1aaf: romdata_int = 'h470f; // Line descriptor for 2_3s
6849
    'h1ab0: romdata_int = 'h6b;
6850
    'h1ab1: romdata_int = 'h322;
6851
    'h1ab2: romdata_int = 'h4ff;
6852
    'h1ab3: romdata_int = 'h1000;
6853
    'h1ab4: romdata_int = 'h1b00;
6854
    'h1ab5: romdata_int = 'h2526;
6855
    'h1ab6: romdata_int = 'h2e00;
6856
    'h1ab7: romdata_int = 'h3425;
6857
    'h1ab8: romdata_int = 'h70f; // Line descriptor for 2_3s
6858
    'h1ab9: romdata_int = 'he0;
6859
    'h1aba: romdata_int = 'h439;
6860
    'h1abb: romdata_int = 'h4e2;
6861
    'h1abc: romdata_int = 'hd01;
6862
    'h1abd: romdata_int = 'h1200;
6863
    'h1abe: romdata_int = 'h3000;
6864
    'h1abf: romdata_int = 'h349d;
6865
    'h1ac0: romdata_int = 'h3b03;
6866
    'h1ac1: romdata_int = 'h470f; // Line descriptor for 2_3s
6867
    'h1ac2: romdata_int = 'h49c;
6868
    'h1ac3: romdata_int = 'h4b0;
6869
    'h1ac4: romdata_int = 'h1400;
6870
    'h1ac5: romdata_int = 'h189d;
6871
    'h1ac6: romdata_int = 'h1c5a;
6872
    'h1ac7: romdata_int = 'h204e;
6873
    'h1ac8: romdata_int = 'h288f;
6874
    'h1ac9: romdata_int = 'h3200;
6875
    'h1aca: romdata_int = 'h70f; // Line descriptor for 2_3s
6876
    'h1acb: romdata_int = 'h55;
6877
    'h1acc: romdata_int = 'h240;
6878
    'h1acd: romdata_int = 'h105f;
6879
    'h1ace: romdata_int = 'h10c8;
6880
    'h1acf: romdata_int = 'h1600;
6881
    'h1ad0: romdata_int = 'h2ab3;
6882
    'h1ad1: romdata_int = 'h3105;
6883
    'h1ad2: romdata_int = 'h3400;
6884
    'h1ad3: romdata_int = 'h470f; // Line descriptor for 2_3s
6885
    'h1ad4: romdata_int = 'hae;
6886
    'h1ad5: romdata_int = 'h25d;
6887
    'h1ad6: romdata_int = 'h616;
6888
    'h1ad7: romdata_int = 'he66;
6889
    'h1ad8: romdata_int = 'h1800;
6890
    'h1ad9: romdata_int = 'h2266;
6891
    'h1ada: romdata_int = 'h28c2;
6892
    'h1adb: romdata_int = 'h3600;
6893
    'h1adc: romdata_int = 'h70f; // Line descriptor for 2_3s
6894
    'h1add: romdata_int = 'h218;
6895
    'h1ade: romdata_int = 'h2e5;
6896
    'h1adf: romdata_int = 'h917;
6897
    'h1ae0: romdata_int = 'h1442;
6898
    'h1ae1: romdata_int = 'h1a00;
6899
    'h1ae2: romdata_int = 'h1e0a;
6900
    'h1ae3: romdata_int = 'h362d;
6901
    'h1ae4: romdata_int = 'h3800;
6902
    'h1ae5: romdata_int = 'h670f; // Line descriptor for 2_3s
6903
    'h1ae6: romdata_int = 'h8a;
6904
    'h1ae7: romdata_int = 'h40a;
6905
    'h1ae8: romdata_int = 'h43d;
6906
    'h1ae9: romdata_int = 'h1aa4;
6907
    'h1aea: romdata_int = 'h1c00;
6908
    'h1aeb: romdata_int = 'h2221;
6909
    'h1aec: romdata_int = 'h3209;
6910
    'h1aed: romdata_int = 'h3a00;
6911
    'h1aee: romdata_int = 'h70c; // Line descriptor for 11_15s
6912
    'h1aef: romdata_int = 'hda;
6913
    'h1af0: romdata_int = 'h1052;
6914
    'h1af1: romdata_int = 'h1200;
6915
    'h1af2: romdata_int = 'h168f;
6916
    'h1af3: romdata_int = 'h180b;
6917
    'h1af4: romdata_int = 'h2a00;
6918
    'h1af5: romdata_int = 'h36d3;
6919
    'h1af6: romdata_int = 'h40ea;
6920
    'h1af7: romdata_int = 'h490c; // Line descriptor for 11_15s
6921
    'h1af8: romdata_int = 'h54;
6922
    'h1af9: romdata_int = 'h96;
6923
    'h1afa: romdata_int = 'h719;
6924
    'h1afb: romdata_int = 'hb58;
6925
    'h1afc: romdata_int = 'h1400;
6926
    'h1afd: romdata_int = 'h22a2;
6927
    'h1afe: romdata_int = 'h2959;
6928
    'h1aff: romdata_int = 'h2c00;
6929
    'h1b00: romdata_int = 'h3053;
6930
    'h1b01: romdata_int = 'h3318;
6931
    'h1b02: romdata_int = 'h80c; // Line descriptor for 11_15s
6932
    'h1b03: romdata_int = 'h2e;
6933
    'h1b04: romdata_int = 'h93f;
6934
    'h1b05: romdata_int = 'he59;
6935
    'h1b06: romdata_int = 'h1600;
6936
    'h1b07: romdata_int = 'h1a35;
6937
    'h1b08: romdata_int = 'h202d;
6938
    'h1b09: romdata_int = 'h2e00;
6939
    'h1b0a: romdata_int = 'h3452;
6940
    'h1b0b: romdata_int = 'h3a6c;
6941
    'h1b0c: romdata_int = 'h460c; // Line descriptor for 11_15s
6942
    'h1b0d: romdata_int = 'h0;
6943
    'h1b0e: romdata_int = 'h14fa;
6944
    'h1b0f: romdata_int = 'h1800;
6945
    'h1b10: romdata_int = 'h1d1f;
6946
    'h1b11: romdata_int = 'h3000;
6947
    'h1b12: romdata_int = 'h3145;
6948
    'h1b13: romdata_int = 'h3c33;
6949
    'h1b14: romdata_int = 'h70c; // Line descriptor for 11_15s
6950
    'h1b15: romdata_int = 'ha0;
6951
    'h1b16: romdata_int = 'h200;
6952
    'h1b17: romdata_int = 'h1a00;
6953
    'h1b18: romdata_int = 'h2422;
6954
    'h1b19: romdata_int = 'h24a2;
6955
    'h1b1a: romdata_int = 'h3200;
6956
    'h1b1b: romdata_int = 'h3e89;
6957
    'h1b1c: romdata_int = 'h3f03;
6958
    'h1b1d: romdata_int = 'h4a0c; // Line descriptor for 11_15s
6959
    'h1b1e: romdata_int = 'h7b;
6960
    'h1b1f: romdata_int = 'h11e;
6961
    'h1b20: romdata_int = 'h2df;
6962
    'h1b21: romdata_int = 'h400;
6963
    'h1b22: romdata_int = 'h91f;
6964
    'h1b23: romdata_int = 'h1936;
6965
    'h1b24: romdata_int = 'h1a97;
6966
    'h1b25: romdata_int = 'h1c00;
6967
    'h1b26: romdata_int = 'h2e3b;
6968
    'h1b27: romdata_int = 'h3400;
6969
    'h1b28: romdata_int = 'h38c8;
6970
    'h1b29: romdata_int = 'h80c; // Line descriptor for 11_15s
6971
    'h1b2a: romdata_int = 'h10a;
6972
    'h1b2b: romdata_int = 'h600;
6973
    'h1b2c: romdata_int = 'hce4;
6974
    'h1b2d: romdata_int = 'h1279;
6975
    'h1b2e: romdata_int = 'h1e00;
6976
    'h1b2f: romdata_int = 'h26ba;
6977
    'h1b30: romdata_int = 'h345c;
6978
    'h1b31: romdata_int = 'h3600;
6979
    'h1b32: romdata_int = 'h3c0e;
6980
    'h1b33: romdata_int = 'h490c; // Line descriptor for 11_15s
6981
    'h1b34: romdata_int = 'h15e;
6982
    'h1b35: romdata_int = 'h54f;
6983
    'h1b36: romdata_int = 'h800;
6984
    'h1b37: romdata_int = 'h10ba;
6985
    'h1b38: romdata_int = 'h12fc;
6986
    'h1b39: romdata_int = 'h2000;
6987
    'h1b3a: romdata_int = 'h2254;
6988
    'h1b3b: romdata_int = 'h2b00;
6989
    'h1b3c: romdata_int = 'h32c1;
6990
    'h1b3d: romdata_int = 'h3800;
6991
    'h1b3e: romdata_int = 'h80c; // Line descriptor for 11_15s
6992
    'h1b3f: romdata_int = 'ha00;
6993
    'h1b40: romdata_int = 'haab;
6994
    'h1b41: romdata_int = 'hd5c;
6995
    'h1b42: romdata_int = 'h146e;
6996
    'h1b43: romdata_int = 'h1f2e;
6997
    'h1b44: romdata_int = 'h2200;
6998
    'h1b45: romdata_int = 'h2ace;
6999
    'h1b46: romdata_int = 'h2c5a;
7000
    'h1b47: romdata_int = 'h3a00;
7001
    'h1b48: romdata_int = 'h470c; // Line descriptor for 11_15s
7002
    'h1b49: romdata_int = 'h6db;
7003
    'h1b4a: romdata_int = 'hc00;
7004
    'h1b4b: romdata_int = 'h165e;
7005
    'h1b4c: romdata_int = 'h1e68;
7006
    'h1b4d: romdata_int = 'h2400;
7007
    'h1b4e: romdata_int = 'h2cfb;
7008
    'h1b4f: romdata_int = 'h3a9c;
7009
    'h1b50: romdata_int = 'h3c00;
7010
    'h1b51: romdata_int = 'h80c; // Line descriptor for 11_15s
7011
    'h1b52: romdata_int = 'h27;
7012
    'h1b53: romdata_int = 'he00;
7013
    'h1b54: romdata_int = 'hf48;
7014
    'h1b55: romdata_int = 'h1c93;
7015
    'h1b56: romdata_int = 'h2133;
7016
    'h1b57: romdata_int = 'h2600;
7017
    'h1b58: romdata_int = 'h360b;
7018
    'h1b59: romdata_int = 'h388c;
7019
    'h1b5a: romdata_int = 'h3e00;
7020
    'h1b5b: romdata_int = 'h690c; // Line descriptor for 11_15s
7021
    'h1b5c: romdata_int = 'h38;
7022
    'h1b5d: romdata_int = 'h2b1;
7023
    'h1b5e: romdata_int = 'h502;
7024
    'h1b5f: romdata_int = 'h1000;
7025
    'h1b60: romdata_int = 'h2652;
7026
    'h1b61: romdata_int = 'h2800;
7027
    'h1b62: romdata_int = 'h289e;
7028
    'h1b63: romdata_int = 'h2e72;
7029
    'h1b64: romdata_int = 'h4000;
7030
    'h1b65: romdata_int = 'h4075;
7031
    'h1b66: romdata_int = 'h90a; // Line descriptor for 7_9s
7032
    'h1b67: romdata_int = 'h541;
7033
    'h1b68: romdata_int = 'ha00;
7034
    'h1b69: romdata_int = 'hc17;
7035
    'h1b6a: romdata_int = 'h14d3;
7036
    'h1b6b: romdata_int = 'h1e00;
7037
    'h1b6c: romdata_int = 'h20b4;
7038
    'h1b6d: romdata_int = 'h2148;
7039
    'h1b6e: romdata_int = 'h3200;
7040
    'h1b6f: romdata_int = 'h36a1;
7041
    'h1b70: romdata_int = 'h3f5a;
7042
    'h1b71: romdata_int = 'h480a; // Line descriptor for 7_9s
7043
    'h1b72: romdata_int = 'hc00;
7044
    'h1b73: romdata_int = 'h185f;
7045
    'h1b74: romdata_int = 'h1c8e;
7046
    'h1b75: romdata_int = 'h2000;
7047
    'h1b76: romdata_int = 'h2221;
7048
    'h1b77: romdata_int = 'h2c0c;
7049
    'h1b78: romdata_int = 'h3400;
7050
    'h1b79: romdata_int = 'h34bc;
7051
    'h1b7a: romdata_int = 'h370e;
7052
    'h1b7b: romdata_int = 'ha0a; // Line descriptor for 7_9s
7053
    'h1b7c: romdata_int = 'h415;
7054
    'h1b7d: romdata_int = 'h83d;
7055
    'h1b7e: romdata_int = 'he00;
7056
    'h1b7f: romdata_int = 'h1256;
7057
    'h1b80: romdata_int = 'h1b62;
7058
    'h1b81: romdata_int = 'h1ee3;
7059
    'h1b82: romdata_int = 'h2200;
7060
    'h1b83: romdata_int = 'h24ff;
7061
    'h1b84: romdata_int = 'h3248;
7062
    'h1b85: romdata_int = 'h3600;
7063
    'h1b86: romdata_int = 'h38ad;
7064
    'h1b87: romdata_int = 'h490a; // Line descriptor for 7_9s
7065
    'h1b88: romdata_int = 'h2f9;
7066
    'h1b89: romdata_int = 'hb0a;
7067
    'h1b8a: romdata_int = 'h1000;
7068
    'h1b8b: romdata_int = 'h101c;
7069
    'h1b8c: romdata_int = 'h2400;
7070
    'h1b8d: romdata_int = 'h2822;
7071
    'h1b8e: romdata_int = 'h288a;
7072
    'h1b8f: romdata_int = 'h3800;
7073
    'h1b90: romdata_int = 'h3948;
7074
    'h1b91: romdata_int = 'h3c18;
7075
    'h1b92: romdata_int = 'h90a; // Line descriptor for 7_9s
7076
    'h1b93: romdata_int = 'h212;
7077
    'h1b94: romdata_int = 'hf17;
7078
    'h1b95: romdata_int = 'h1200;
7079
    'h1b96: romdata_int = 'h16cb;
7080
    'h1b97: romdata_int = 'h2600;
7081
    'h1b98: romdata_int = 'h2a09;
7082
    'h1b99: romdata_int = 'h2f15;
7083
    'h1b9a: romdata_int = 'h329e;
7084
    'h1b9b: romdata_int = 'h3a00;
7085
    'h1b9c: romdata_int = 'h3d33;
7086
    'h1b9d: romdata_int = 'h4a0a; // Line descriptor for 7_9s
7087
    'h1b9e: romdata_int = 'h0;
7088
    'h1b9f: romdata_int = 'h9c;
7089
    'h1ba0: romdata_int = 'hd0d;
7090
    'h1ba1: romdata_int = 'heca;
7091
    'h1ba2: romdata_int = 'h1400;
7092
    'h1ba3: romdata_int = 'h242e;
7093
    'h1ba4: romdata_int = 'h2800;
7094
    'h1ba5: romdata_int = 'h30b9;
7095
    'h1ba6: romdata_int = 'h3c00;
7096
    'h1ba7: romdata_int = 'h3e5e;
7097
    'h1ba8: romdata_int = 'h4562;
7098
    'h1ba9: romdata_int = 'h4a0a; // Line descriptor for 7_9s
7099
    'h1baa: romdata_int = 'h59;
7100
    'h1bab: romdata_int = 'h200;
7101
    'h1bac: romdata_int = 'h1425;
7102
    'h1bad: romdata_int = 'h1600;
7103
    'h1bae: romdata_int = 'h16e4;
7104
    'h1baf: romdata_int = 'h2a00;
7105
    'h1bb0: romdata_int = 'h2a17;
7106
    'h1bb1: romdata_int = 'h30d3;
7107
    'h1bb2: romdata_int = 'h3e00;
7108
    'h1bb3: romdata_int = 'h40ae;
7109
    'h1bb4: romdata_int = 'h4238;
7110
    'h1bb5: romdata_int = 'h4a0a; // Line descriptor for 7_9s
7111
    'h1bb6: romdata_int = 'h400;
7112
    'h1bb7: romdata_int = 'h648;
7113
    'h1bb8: romdata_int = 'hac2;
7114
    'h1bb9: romdata_int = 'h1800;
7115
    'h1bba: romdata_int = 'h1c1e;
7116
    'h1bbb: romdata_int = 'h1e77;
7117
    'h1bbc: romdata_int = 'h2c00;
7118
    'h1bbd: romdata_int = 'h2e95;
7119
    'h1bbe: romdata_int = 'h3514;
7120
    'h1bbf: romdata_int = 'h4000;
7121
    'h1bc0: romdata_int = 'h428e;
7122
    'h1bc1: romdata_int = 'h4a0a; // Line descriptor for 7_9s
7123
    'h1bc2: romdata_int = 'h600;
7124
    'h1bc3: romdata_int = 'h956;
7125
    'h1bc4: romdata_int = 'h18ce;
7126
    'h1bc5: romdata_int = 'h1a00;
7127
    'h1bc6: romdata_int = 'h1b36;
7128
    'h1bc7: romdata_int = 'h22e6;
7129
    'h1bc8: romdata_int = 'h2667;
7130
    'h1bc9: romdata_int = 'h2e00;
7131
    'h1bca: romdata_int = 'h3a10;
7132
    'h1bcb: romdata_int = 'h4200;
7133
    'h1bcc: romdata_int = 'h4474;
7134
    'h1bcd: romdata_int = 'h6a0a; // Line descriptor for 7_9s
7135
    'h1bce: romdata_int = 'h685;
7136
    'h1bcf: romdata_int = 'h800;
7137
    'h1bd0: romdata_int = 'h112f;
7138
    'h1bd1: romdata_int = 'h1320;
7139
    'h1bd2: romdata_int = 'h1c00;
7140
    'h1bd3: romdata_int = 'h26f7;
7141
    'h1bd4: romdata_int = 'h2d05;
7142
    'h1bd5: romdata_int = 'h3000;
7143
    'h1bd6: romdata_int = 'h3a6d;
7144
    'h1bd7: romdata_int = 'h40cc;
7145
    'h1bd8: romdata_int = 'h4400;
7146
    'h1bd9: romdata_int = 'h4d08; // Line descriptor for 37_45s
7147
    'h1bda: romdata_int = 'h11b;
7148
    'h1bdb: romdata_int = 'h499;
7149
    'h1bdc: romdata_int = 'ha00;
7150
    'h1bdd: romdata_int = 'hb08;
7151
    'h1bde: romdata_int = 'h109e;
7152
    'h1bdf: romdata_int = 'h1a00;
7153
    'h1be0: romdata_int = 'h1e8a;
7154
    'h1be1: romdata_int = 'h1ed4;
7155
    'h1be2: romdata_int = 'h2a00;
7156
    'h1be3: romdata_int = 'h2a20;
7157
    'h1be4: romdata_int = 'h3522;
7158
    'h1be5: romdata_int = 'h3a00;
7159
    'h1be6: romdata_int = 'h452b;
7160
    'h1be7: romdata_int = 'h48d5;
7161
    'h1be8: romdata_int = 'h4d08; // Line descriptor for 37_45s
7162
    'h1be9: romdata_int = 'hb9;
7163
    'h1bea: romdata_int = 'h12d;
7164
    'h1beb: romdata_int = 'hc00;
7165
    'h1bec: romdata_int = 'he44;
7166
    'h1bed: romdata_int = 'h180a;
7167
    'h1bee: romdata_int = 'h1c00;
7168
    'h1bef: romdata_int = 'h1c15;
7169
    'h1bf0: romdata_int = 'h263e;
7170
    'h1bf1: romdata_int = 'h2c00;
7171
    'h1bf2: romdata_int = 'h2e9d;
7172
    'h1bf3: romdata_int = 'h3099;
7173
    'h1bf4: romdata_int = 'h3b28;
7174
    'h1bf5: romdata_int = 'h3c00;
7175
    'h1bf6: romdata_int = 'h3c07;
7176
    'h1bf7: romdata_int = 'h4d08; // Line descriptor for 37_45s
7177
    'h1bf8: romdata_int = 'h669;
7178
    'h1bf9: romdata_int = 'h708;
7179
    'h1bfa: romdata_int = 'he00;
7180
    'h1bfb: romdata_int = 'h131a;
7181
    'h1bfc: romdata_int = 'h160e;
7182
    'h1bfd: romdata_int = 'h1e00;
7183
    'h1bfe: romdata_int = 'h20ef;
7184
    'h1bff: romdata_int = 'h22b5;
7185
    'h1c00: romdata_int = 'h2b36;
7186
    'h1c01: romdata_int = 'h2e00;
7187
    'h1c02: romdata_int = 'h2ecf;
7188
    'h1c03: romdata_int = 'h3e00;
7189
    'h1c04: romdata_int = 'h3e83;
7190
    'h1c05: romdata_int = 'h42d9;
7191
    'h1c06: romdata_int = 'h4d08; // Line descriptor for 37_45s
7192
    'h1c07: romdata_int = 'h0;
7193
    'h1c08: romdata_int = 'h3e;
7194
    'h1c09: romdata_int = 'he66;
7195
    'h1c0a: romdata_int = 'h1000;
7196
    'h1c0b: romdata_int = 'h1918;
7197
    'h1c0c: romdata_int = 'h2000;
7198
    'h1c0d: romdata_int = 'h240b;
7199
    'h1c0e: romdata_int = 'h28c5;
7200
    'h1c0f: romdata_int = 'h3000;
7201
    'h1c10: romdata_int = 'h354e;
7202
    'h1c11: romdata_int = 'h3636;
7203
    'h1c12: romdata_int = 'h3c3c;
7204
    'h1c13: romdata_int = 'h4000;
7205
    'h1c14: romdata_int = 'h4614;
7206
    'h1c15: romdata_int = 'h5008; // Line descriptor for 37_45s
7207
    'h1c16: romdata_int = 'h71;
7208
    'h1c17: romdata_int = 'h59;
7209
    'h1c18: romdata_int = 'h139;
7210
    'h1c19: romdata_int = 'h200;
7211
    'h1c1a: romdata_int = 'h81a;
7212
    'h1c1b: romdata_int = 'hd25;
7213
    'h1c1c: romdata_int = 'h1200;
7214
    'h1c1d: romdata_int = 'h1478;
7215
    'h1c1e: romdata_int = 'h2200;
7216
    'h1c1f: romdata_int = 'h2242;
7217
    'h1c20: romdata_int = 'h2716;
7218
    'h1c21: romdata_int = 'h3200;
7219
    'h1c22: romdata_int = 'h327a;
7220
    'h1c23: romdata_int = 'h381c;
7221
    'h1c24: romdata_int = 'h4200;
7222
    'h1c25: romdata_int = 'h4208;
7223
    'h1c26: romdata_int = 'h494a;
7224
    'h1c27: romdata_int = 'h4f08; // Line descriptor for 37_45s
7225
    'h1c28: romdata_int = 'h29;
7226
    'h1c29: romdata_int = 'h15e;
7227
    'h1c2a: romdata_int = 'h400;
7228
    'h1c2b: romdata_int = 'h847;
7229
    'h1c2c: romdata_int = 'h12d4;
7230
    'h1c2d: romdata_int = 'h1400;
7231
    'h1c2e: romdata_int = 'h172d;
7232
    'h1c2f: romdata_int = 'h1a9b;
7233
    'h1c30: romdata_int = 'h1ac5;
7234
    'h1c31: romdata_int = 'h2400;
7235
    'h1c32: romdata_int = 'h2c8b;
7236
    'h1c33: romdata_int = 'h2c9d;
7237
    'h1c34: romdata_int = 'h3400;
7238
    'h1c35: romdata_int = 'h3aeb;
7239
    'h1c36: romdata_int = 'h4400;
7240
    'h1c37: romdata_int = 'h4650;
7241
    'h1c38: romdata_int = 'h5008; // Line descriptor for 37_45s
7242
    'h1c39: romdata_int = 'h9e;
7243
    'h1c3a: romdata_int = 'hd4;
7244
    'h1c3b: romdata_int = 'h2f0;
7245
    'h1c3c: romdata_int = 'h433;
7246
    'h1c3d: romdata_int = 'h600;
7247
    'h1c3e: romdata_int = 'hd1d;
7248
    'h1c3f: romdata_int = 'h1414;
7249
    'h1c40: romdata_int = 'h1600;
7250
    'h1c41: romdata_int = 'h2479;
7251
    'h1c42: romdata_int = 'h2600;
7252
    'h1c43: romdata_int = 'h2922;
7253
    'h1c44: romdata_int = 'h30b8;
7254
    'h1c45: romdata_int = 'h3600;
7255
    'h1c46: romdata_int = 'h369f;
7256
    'h1c47: romdata_int = 'h3e68;
7257
    'h1c48: romdata_int = 'h40f8;
7258
    'h1c49: romdata_int = 'h4600;
7259
    'h1c4a: romdata_int = 'h6e08; // Line descriptor for 37_45s
7260
    'h1c4b: romdata_int = 'h45;
7261
    'h1c4c: romdata_int = 'h331;
7262
    'h1c4d: romdata_int = 'h800;
7263
    'h1c4e: romdata_int = 'hb29;
7264
    'h1c4f: romdata_int = 'h1011;
7265
    'h1c50: romdata_int = 'h1800;
7266
    'h1c51: romdata_int = 'h1c60;
7267
    'h1c52: romdata_int = 'h2161;
7268
    'h1c53: romdata_int = 'h2800;
7269
    'h1c54: romdata_int = 'h3339;
7270
    'h1c55: romdata_int = 'h3800;
7271
    'h1c56: romdata_int = 'h383e;
7272
    'h1c57: romdata_int = 'h4099;
7273
    'h1c58: romdata_int = 'h4476;
7274
    'h1c59: romdata_int = 'h4800;
7275
    'h1c5a: romdata_int = 'h5805; // Line descriptor for 8_9s
7276
    'h1c5b: romdata_int = 'h0;
7277
    'h1c5c: romdata_int = 'ha1;
7278
    'h1c5d: romdata_int = 'h322;
7279
    'h1c5e: romdata_int = 'h879;
7280
    'h1c5f: romdata_int = 'ha00;
7281
    'h1c60: romdata_int = 'h102a;
7282
    'h1c61: romdata_int = 'h10ef;
7283
    'h1c62: romdata_int = 'h1400;
7284
    'h1c63: romdata_int = 'h1aa7;
7285
    'h1c64: romdata_int = 'h1b2c;
7286
    'h1c65: romdata_int = 'h1e00;
7287
    'h1c66: romdata_int = 'h20e7;
7288
    'h1c67: romdata_int = 'h272b;
7289
    'h1c68: romdata_int = 'h2800;
7290
    'h1c69: romdata_int = 'h284d;
7291
    'h1c6a: romdata_int = 'h2ec0;
7292
    'h1c6b: romdata_int = 'h3200;
7293
    'h1c6c: romdata_int = 'h34cb;
7294
    'h1c6d: romdata_int = 'h38ee;
7295
    'h1c6e: romdata_int = 'h3c00;
7296
    'h1c6f: romdata_int = 'h3c7e;
7297
    'h1c70: romdata_int = 'h44e7;
7298
    'h1c71: romdata_int = 'h4600;
7299
    'h1c72: romdata_int = 'h46c8;
7300
    'h1c73: romdata_int = 'h4d2f;
7301
    'h1c74: romdata_int = 'h5805; // Line descriptor for 8_9s
7302
    'h1c75: romdata_int = 'h200;
7303
    'h1c76: romdata_int = 'h55c;
7304
    'h1c77: romdata_int = 'h6a1;
7305
    'h1c78: romdata_int = 'h739;
7306
    'h1c79: romdata_int = 'hab9;
7307
    'h1c7a: romdata_int = 'hc00;
7308
    'h1c7b: romdata_int = 'he1e;
7309
    'h1c7c: romdata_int = 'h1600;
7310
    'h1c7d: romdata_int = 'h1911;
7311
    'h1c7e: romdata_int = 'h1d2b;
7312
    'h1c7f: romdata_int = 'h1ec9;
7313
    'h1c80: romdata_int = 'h1f54;
7314
    'h1c81: romdata_int = 'h2000;
7315
    'h1c82: romdata_int = 'h2a00;
7316
    'h1c83: romdata_int = 'h2a1e;
7317
    'h1c84: romdata_int = 'h3122;
7318
    'h1c85: romdata_int = 'h3400;
7319
    'h1c86: romdata_int = 'h36fc;
7320
    'h1c87: romdata_int = 'h3af9;
7321
    'h1c88: romdata_int = 'h3e00;
7322
    'h1c89: romdata_int = 'h3e54;
7323
    'h1c8a: romdata_int = 'h446f;
7324
    'h1c8b: romdata_int = 'h467e;
7325
    'h1c8c: romdata_int = 'h4800;
7326
    'h1c8d: romdata_int = 'h4c65;
7327
    'h1c8e: romdata_int = 'h5805; // Line descriptor for 8_9s
7328
    'h1c8f: romdata_int = 'h8e;
7329
    'h1c90: romdata_int = 'h30b;
7330
    'h1c91: romdata_int = 'h400;
7331
    'h1c92: romdata_int = 'h860;
7332
    'h1c93: romdata_int = 'hc9b;
7333
    'h1c94: romdata_int = 'he00;
7334
    'h1c95: romdata_int = 'h128a;
7335
    'h1c96: romdata_int = 'h1455;
7336
    'h1c97: romdata_int = 'h1800;
7337
    'h1c98: romdata_int = 'h1c64;
7338
    'h1c99: romdata_int = 'h2013;
7339
    'h1c9a: romdata_int = 'h2200;
7340
    'h1c9b: romdata_int = 'h2283;
7341
    'h1c9c: romdata_int = 'h2a49;
7342
    'h1c9d: romdata_int = 'h2c00;
7343
    'h1c9e: romdata_int = 'h2c28;
7344
    'h1c9f: romdata_int = 'h3358;
7345
    'h1ca0: romdata_int = 'h3600;
7346
    'h1ca1: romdata_int = 'h3b26;
7347
    'h1ca2: romdata_int = 'h4000;
7348
    'h1ca3: romdata_int = 'h424e;
7349
    'h1ca4: romdata_int = 'h4273;
7350
    'h1ca5: romdata_int = 'h4892;
7351
    'h1ca6: romdata_int = 'h4a00;
7352
    'h1ca7: romdata_int = 'h4eea;
7353
    'h1ca8: romdata_int = 'h5805; // Line descriptor for 8_9s
7354
    'h1ca9: romdata_int = 'h137;
7355
    'h1caa: romdata_int = 'h2ae;
7356
    'h1cab: romdata_int = 'h600;
7357
    'h1cac: romdata_int = 'h8b8;
7358
    'h1cad: romdata_int = 'hb3b;
7359
    'h1cae: romdata_int = 'he79;
7360
    'h1caf: romdata_int = 'h1000;
7361
    'h1cb0: romdata_int = 'h1461;
7362
    'h1cb1: romdata_int = 'h16a5;
7363
    'h1cb2: romdata_int = 'h1a00;
7364
    'h1cb3: romdata_int = 'h2318;
7365
    'h1cb4: romdata_int = 'h2400;
7366
    'h1cb5: romdata_int = 'h2522;
7367
    'h1cb6: romdata_int = 'h2d08;
7368
    'h1cb7: romdata_int = 'h2e00;
7369
    'h1cb8: romdata_int = 'h2e3f;
7370
    'h1cb9: romdata_int = 'h32db;
7371
    'h1cba: romdata_int = 'h351d;
7372
    'h1cbb: romdata_int = 'h3800;
7373
    'h1cbc: romdata_int = 'h3c65;
7374
    'h1cbd: romdata_int = 'h40b3;
7375
    'h1cbe: romdata_int = 'h4200;
7376
    'h1cbf: romdata_int = 'h4911;
7377
    'h1cc0: romdata_int = 'h4b09;
7378
    'h1cc1: romdata_int = 'h4c00;
7379
    'h1cc2: romdata_int = 'h7805; // Line descriptor for 8_9s
7380
    'h1cc3: romdata_int = 'h4e1;
7381
    'h1cc4: romdata_int = 'h4ec;
7382
    'h1cc5: romdata_int = 'h63a;
7383
    'h1cc6: romdata_int = 'h800;
7384
    'h1cc7: romdata_int = 'hd12;
7385
    'h1cc8: romdata_int = 'h1200;
7386
    'h1cc9: romdata_int = 'h1328;
7387
    'h1cca: romdata_int = 'h16e0;
7388
    'h1ccb: romdata_int = 'h18ae;
7389
    'h1ccc: romdata_int = 'h1c00;
7390
    'h1ccd: romdata_int = 'h247c;
7391
    'h1cce: romdata_int = 'h2600;
7392
    'h1ccf: romdata_int = 'h2655;
7393
    'h1cd0: romdata_int = 'h28a1;
7394
    'h1cd1: romdata_int = 'h3000;
7395
    'h1cd2: romdata_int = 'h30cf;
7396
    'h1cd3: romdata_int = 'h3738;
7397
    'h1cd4: romdata_int = 'h386c;
7398
    'h1cd5: romdata_int = 'h3a00;
7399
    'h1cd6: romdata_int = 'h3f54;
7400
    'h1cd7: romdata_int = 'h4038;
7401
    'h1cd8: romdata_int = 'h4400;
7402
    'h1cd9: romdata_int = 'h4a41;
7403
    'h1cda: romdata_int = 'h4e00;
7404
    default: romdata_int = 'h4edc;
7405
  endcase
7406
endmodule
7407
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.