OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [FPz8_Cyclone_IV.out.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fabiop
## Generated SDC file "FPz8_Cyclone_IV.out.sdc"
2
 
3
## Copyright (C) 1991-2010 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition"
21
 
22
## DATE    "Thu Nov 10 14:35:57 2016"
23
 
24
##
25
## DEVICE  "EP4CE6E22C8"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
 
41
derive_clocks -period "1.0"
42
 
43
 
44
#**************************************************************
45
# Create Generated Clock
46
#**************************************************************
47
 
48
 
49
 
50
#**************************************************************
51
# Set Clock Latency
52
#**************************************************************
53
 
54
 
55
 
56
#**************************************************************
57
# Set Clock Uncertainty
58
#**************************************************************
59
 
60
derive_clock_uncertainty
61
 
62
 
63
#**************************************************************
64
# Set Input Delay
65
#**************************************************************
66
 
67
 
68
 
69
#**************************************************************
70
# Set Output Delay
71
#**************************************************************
72
 
73
 
74
 
75
#**************************************************************
76
# Set Clock Groups
77
#**************************************************************
78
 
79
 
80
 
81
#**************************************************************
82
# Set False Path
83
#**************************************************************
84
 
85
 
86
 
87
#**************************************************************
88
# Set Multicycle Path
89
#**************************************************************
90
 
91
 
92
 
93
#**************************************************************
94
# Set Maximum Delay
95
#**************************************************************
96
 
97
 
98
 
99
#**************************************************************
100
# Set Minimum Delay
101
#**************************************************************
102
 
103
 
104
 
105
#**************************************************************
106
# Set Input Transition
107
#**************************************************************
108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.