OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [altsyncram0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fabiop
-- megafunction wizard: %ALTSYNCRAM%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: altsyncram0.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2010 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY altsyncram0 IS
43
        PORT
44
        (
45
                address         : IN STD_LOGIC_VECTOR (13 DOWNTO 0);
46
                clock           : IN STD_LOGIC  := '1';
47
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
48
        );
49
END altsyncram0;
50
 
51
 
52
ARCHITECTURE SYN OF altsyncram0 IS
53
 
54
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
55
 
56
 
57
 
58
        COMPONENT altsyncram
59
        GENERIC (
60
                clock_enable_input_a            : STRING;
61
                clock_enable_output_a           : STRING;
62
                init_file               : STRING;
63
                intended_device_family          : STRING;
64
                lpm_hint                : STRING;
65
                lpm_type                : STRING;
66
                numwords_a              : NATURAL;
67
                operation_mode          : STRING;
68
                outdata_aclr_a          : STRING;
69
                outdata_reg_a           : STRING;
70
                power_up_uninitialized          : STRING;
71
                ram_block_type          : STRING;
72
                widthad_a               : NATURAL;
73
                width_a         : NATURAL;
74
                width_byteena_a         : NATURAL
75
        );
76
        PORT (
77
                        clock0  : IN STD_LOGIC ;
78
                        address_a       : IN STD_LOGIC_VECTOR (13 DOWNTO 0);
79
                        q_a     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
80
        );
81
        END COMPONENT;
82
 
83
BEGIN
84
        q    <= sub_wire0(7 DOWNTO 0);
85
 
86
        altsyncram_component : altsyncram
87
        GENERIC MAP (
88
                clock_enable_input_a => "BYPASS",
89
                clock_enable_output_a => "BYPASS",
90
                init_file => "FPZ8_test.mif",
91
                intended_device_family => "Cyclone II",
92
                lpm_hint => "ENABLE_RUNTIME_MOD=NO",
93
                lpm_type => "altsyncram",
94
                numwords_a => 16384,
95
                operation_mode => "ROM",
96
                outdata_aclr_a => "NONE",
97
                outdata_reg_a => "UNREGISTERED",
98
                power_up_uninitialized => "FALSE",
99
                ram_block_type => "M4K",
100
                widthad_a => 14,
101
                width_a => 8,
102
                width_byteena_a => 1
103
        )
104
        PORT MAP (
105
                clock0 => clock,
106
                address_a => address,
107
                q_a => sub_wire0
108
        );
109
 
110
 
111
 
112
END SYN;
113
 
114
-- ============================================================
115
-- CNX file retrieval info
116
-- ============================================================
117
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
118
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
119
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
120
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
121
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
122
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
123
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
124
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
125
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
126
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
127
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
128
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
129
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
130
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
131
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
132
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
133
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
134
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
135
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
136
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
137
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
138
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
139
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
140
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
141
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
142
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
143
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
144
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
145
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
146
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
147
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
148
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "131072"
149
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
150
-- Retrieval info: PRIVATE: MIFfilename STRING "FPZ8_test.mif"
151
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "0"
152
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
153
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
154
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"
155
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
156
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "4"
157
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "4"
158
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
159
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
160
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
161
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
162
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
163
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
164
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
165
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
166
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
167
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
168
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
169
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
170
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
171
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
172
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
173
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
174
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
175
-- Retrieval info: PRIVATE: enable NUMERIC "0"
176
-- Retrieval info: PRIVATE: rden NUMERIC "0"
177
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
178
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
179
-- Retrieval info: CONSTANT: INIT_FILE STRING "FPZ8_test.mif"
180
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
181
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
182
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
183
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384"
184
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
185
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
186
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
187
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
188
-- Retrieval info: CONSTANT: RAM_BLOCK_TYPE STRING "M4K"
189
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14"
190
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
191
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
192
-- Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL address[13..0]
193
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock
194
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
195
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
196
-- Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0
197
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
198
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
199
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.vhd TRUE
200
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.inc FALSE
201
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.cmp TRUE
202
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.bsf TRUE FALSE
203
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_inst.vhd FALSE
204
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_waveforms.html TRUE
205
-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_wave*.jpg FALSE
206
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.