OpenCores
URL https://opencores.org/ocsvn/ftdi_wb_bridge/ftdi_wb_bridge/trunk

Subversion Repositories ftdi_wb_bridge

[/] [ftdi_wb_bridge/] [trunk/] [testbench/] [makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ultra_embe
TRACE                   ?= 1
2
 
3
all: compile run view
4
 
5
# Testbench
6
SRC+= ./top_tb.sv wb_slave.sv
7
 
8
SRC+= ../rtl/ftdi_if.v ../rtl/ftdi_sync.v
9
 
10
ifeq ($(TRACE),1)
11
    SRC_FLAGS += +define+TRACE=$(TRACE)
12
endif
13
 
14
INC_DIRS = -I.
15
 
16
compile :
17
        vlib work
18
        vlog $(SRC) $(SRC_FLAGS)
19
 
20
run : compile
21
        vsim -c -do "run -all" top_tb
22
 
23
view : compile
24
ifeq ($(TRACE),1)
25
        gtkwave waveform.vcd gtksettings.sav
26
endif
27
 
28
clean :
29
        -rm -rf work waveform.vcd transcript

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.