OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sdram/] [2.0/] [hdl/] [components.ipx] - Blame information for rev 189

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 177 lanttu
2
3
 
4
 
5
 
6
 
7
 
8
 
9 189 lanttu
  
10
   path="../../../../../TUT/ip.swp.driver/hibi_pe_dma_fdev/1.0/src/**/*" />
11 177 lanttu
   
12 189 lanttu
   path="../../../../up_avalon_sram/**/*" />
13 177 lanttu

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.