OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sdram/] [hdl/] [hibi_pe_dma_1.vhd] - Blame information for rev 147

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 147 lanttu
-- hibi_pe_dma_1.vhd
2
 
3
-- This file was auto-generated as part of a SOPC Builder generate operation.
4
-- If you edit it your changes will probably be lost.
5
 
6
library IEEE;
7
use IEEE.std_logic_1164.all;
8
use IEEE.numeric_std.all;
9
 
10
entity hibi_pe_dma_1 is
11
        port (
12
                avalon_cfg_addr_in         : in  std_logic_vector(6 downto 0)  := (others => '0'); --   avalon_slave_0.address
13
                avalon_cfg_we_in           : in  std_logic                     := '0';             --                 .write
14
                avalon_cfg_re_in           : in  std_logic                     := '0';             --                 .read
15
                avalon_cfg_cs_in           : in  std_logic                     := '0';             --                 .chipselect
16
                avalon_cfg_waitrequest_out : out std_logic;                                        --                 .waitrequest
17
                avalon_cfg_writedata_in    : in  std_logic_vector(31 downto 0) := (others => '0'); --                 .writedata
18
                avalon_cfg_readdata_out    : out std_logic_vector(31 downto 0);                    --                 .readdata
19
                hibi_data_in               : in  std_logic_vector(31 downto 0) := (others => '0'); --      conduit_end.export
20
                hibi_av_in                 : in  std_logic                     := '0';             --                 .export
21
                hibi_empty_in              : in  std_logic                     := '0';             --                 .export
22
                hibi_comm_in               : in  std_logic_vector(4 downto 0)  := (others => '0'); --                 .export
23
                hibi_re_out                : out std_logic;                                        --                 .export
24
                hibi_data_out              : out std_logic_vector(31 downto 0);                    --                 .export
25
                hibi_av_out                : out std_logic;                                        --                 .export
26
                hibi_full_in               : in  std_logic                     := '0';             --                 .export
27
                hibi_comm_out              : out std_logic_vector(4 downto 0);                     --                 .export
28
                hibi_we_out                : out std_logic;                                        --                 .export
29
                clk                        : in  std_logic                     := '0';             --       clock_sink.clk
30
                rst_n                      : in  std_logic                     := '0';             -- clock_sink_reset.reset_n
31
                rx_irq_out                 : out std_logic;                                        -- interrupt_sender.irq
32
                avalon_addr_out_rx         : out std_logic_vector(31 downto 0);                    --    avalon_master.address
33
                avalon_we_out_rx           : out std_logic;                                        --                 .write
34
                avalon_be_out_rx           : out std_logic_vector(3 downto 0);                     --                 .byteenable
35
                avalon_writedata_out_rx    : out std_logic_vector(31 downto 0);                    --                 .writedata
36
                avalon_waitrequest_in_rx   : in  std_logic                     := '0';             --                 .waitrequest
37
                avalon_readdatavalid_in_tx : in  std_logic                     := '0';             --  avalon_master_1.readdatavalid
38
                avalon_waitrequest_in_tx   : in  std_logic                     := '0';             --                 .waitrequest
39
                avalon_readdata_in_tx      : in  std_logic_vector(31 downto 0) := (others => '0'); --                 .readdata
40
                avalon_re_out_tx           : out std_logic;                                        --                 .read
41
                avalon_addr_out_tx         : out std_logic_vector(31 downto 0)                     --                 .address
42
        );
43
end entity hibi_pe_dma_1;
44
 
45
architecture rtl of hibi_pe_dma_1 is
46
        component hibi_pe_dma is
47
                generic (
48
                        data_width_g       : integer := 32;
49
                        addr_width_g       : integer := 32;
50
                        words_width_g      : integer := 16;
51
                        n_stream_chans_g   : integer := 4;
52
                        n_packet_chans_g   : integer := 4;
53
                        n_chans_bits_g     : integer := 3;
54
                        hibi_addr_cmp_lo_g : integer := 8;
55
                        hibi_addr_cmp_hi_g : integer := 31
56
                );
57
                port (
58
                        avalon_cfg_addr_in         : in  std_logic_vector(6 downto 0)  := (others => 'X'); -- address
59
                        avalon_cfg_we_in           : in  std_logic                     := 'X';             -- write
60
                        avalon_cfg_re_in           : in  std_logic                     := 'X';             -- read
61
                        avalon_cfg_cs_in           : in  std_logic                     := 'X';             -- chipselect
62
                        avalon_cfg_waitrequest_out : out std_logic;                                        -- waitrequest
63
                        avalon_cfg_writedata_in    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
64
                        avalon_cfg_readdata_out    : out std_logic_vector(31 downto 0);                    -- readdata
65
                        hibi_data_in               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
66
                        hibi_av_in                 : in  std_logic                     := 'X';             -- export
67
                        hibi_empty_in              : in  std_logic                     := 'X';             -- export
68
                        hibi_comm_in               : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- export
69
                        hibi_re_out                : out std_logic;                                        -- export
70
                        hibi_data_out              : out std_logic_vector(31 downto 0);                    -- export
71
                        hibi_av_out                : out std_logic;                                        -- export
72
                        hibi_full_in               : in  std_logic                     := 'X';             -- export
73
                        hibi_comm_out              : out std_logic_vector(4 downto 0);                     -- export
74
                        hibi_we_out                : out std_logic;                                        -- export
75
                        clk                        : in  std_logic                     := 'X';             -- clk
76
                        rst_n                      : in  std_logic                     := 'X';             -- reset_n
77
                        rx_irq_out                 : out std_logic;                                        -- irq
78
                        avalon_addr_out_rx         : out std_logic_vector(31 downto 0);                    -- address
79
                        avalon_we_out_rx           : out std_logic;                                        -- write
80
                        avalon_be_out_rx           : out std_logic_vector(3 downto 0);                     -- byteenable
81
                        avalon_writedata_out_rx    : out std_logic_vector(31 downto 0);                    -- writedata
82
                        avalon_waitrequest_in_rx   : in  std_logic                     := 'X';             -- waitrequest
83
                        avalon_readdatavalid_in_tx : in  std_logic                     := 'X';             -- readdatavalid
84
                        avalon_waitrequest_in_tx   : in  std_logic                     := 'X';             -- waitrequest
85
                        avalon_readdata_in_tx      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
86
                        avalon_re_out_tx           : out std_logic;                                        -- read
87
                        avalon_addr_out_tx         : out std_logic_vector(31 downto 0)                     -- address
88
                );
89
        end component hibi_pe_dma;
90
 
91
begin
92
 
93
        hibi_pe_dma_1 : component hibi_pe_dma
94
                generic map (
95
                        data_width_g       => 32,
96
                        addr_width_g       => 32,
97
                        words_width_g      => 16,
98
                        n_stream_chans_g   => 0,
99
                        n_packet_chans_g   => 8,
100
                        n_chans_bits_g     => 3,
101
                        hibi_addr_cmp_lo_g => 0,
102
                        hibi_addr_cmp_hi_g => 31
103
                )
104
                port map (
105
                        avalon_cfg_addr_in         => avalon_cfg_addr_in,         --   avalon_slave_0.address
106
                        avalon_cfg_we_in           => avalon_cfg_we_in,           --                 .write
107
                        avalon_cfg_re_in           => avalon_cfg_re_in,           --                 .read
108
                        avalon_cfg_cs_in           => avalon_cfg_cs_in,           --                 .chipselect
109
                        avalon_cfg_waitrequest_out => avalon_cfg_waitrequest_out, --                 .waitrequest
110
                        avalon_cfg_writedata_in    => avalon_cfg_writedata_in,    --                 .writedata
111
                        avalon_cfg_readdata_out    => avalon_cfg_readdata_out,    --                 .readdata
112
                        hibi_data_in               => hibi_data_in,               --      conduit_end.export
113
                        hibi_av_in                 => hibi_av_in,                 --                 .export
114
                        hibi_empty_in              => hibi_empty_in,              --                 .export
115
                        hibi_comm_in               => hibi_comm_in,               --                 .export
116
                        hibi_re_out                => hibi_re_out,                --                 .export
117
                        hibi_data_out              => hibi_data_out,              --                 .export
118
                        hibi_av_out                => hibi_av_out,                --                 .export
119
                        hibi_full_in               => hibi_full_in,               --                 .export
120
                        hibi_comm_out              => hibi_comm_out,              --                 .export
121
                        hibi_we_out                => hibi_we_out,                --                 .export
122
                        clk                        => clk,                        --       clock_sink.clk
123
                        rst_n                      => rst_n,                      -- clock_sink_reset.reset_n
124
                        rx_irq_out                 => rx_irq_out,                 -- interrupt_sender.irq
125
                        avalon_addr_out_rx         => avalon_addr_out_rx,         --    avalon_master.address
126
                        avalon_we_out_rx           => avalon_we_out_rx,           --                 .write
127
                        avalon_be_out_rx           => avalon_be_out_rx,           --                 .byteenable
128
                        avalon_writedata_out_rx    => avalon_writedata_out_rx,    --                 .writedata
129
                        avalon_waitrequest_in_rx   => avalon_waitrequest_in_rx,   --                 .waitrequest
130
                        avalon_readdatavalid_in_tx => avalon_readdatavalid_in_tx, --  avalon_master_1.readdatavalid
131
                        avalon_waitrequest_in_tx   => avalon_waitrequest_in_tx,   --                 .waitrequest
132
                        avalon_readdata_in_tx      => avalon_readdata_in_tx,      --                 .readdata
133
                        avalon_re_out_tx           => avalon_re_out_tx,           --                 .read
134
                        avalon_addr_out_tx         => avalon_addr_out_tx          --                 .address
135
                );
136
 
137
end architecture rtl; -- of hibi_pe_dma_1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.