OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sdram/] [hdl/] [nios_ii_sdram.v] - Blame information for rev 147

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 147 lanttu
//megafunction wizard: %Altera SOPC Builder%
2
//GENERATION: STANDARD
3
//VERSION: WM1.0
4
 
5
 
6
//Legal Notice: (C)2012 Altera Corporation. All rights reserved.  Your
7
//use of Altera Corporation's design tools, logic functions and other
8
//software and tools, and its AMPP partner logic functions, and any
9
//output files any of the foregoing (including device programming or
10
//simulation files), and any associated documentation or information are
11
//expressly subject to the terms and conditions of the Altera Program
12
//License Subscription Agreement or other applicable license agreement,
13
//including, without limitation, that your use is for the sole purpose
14
//of programming logic devices manufactured by Altera and sold by Altera
15
//or its authorized distributors.  Please refer to the applicable
16
//agreement for further details.
17
 
18
// synthesis translate_off
19
`timescale 1ns / 1ps
20
// synthesis translate_on
21
 
22
// turn off superfluous verilog processor warnings 
23
// altera message_level Level1 
24
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
25
 
26
module cpu_1_jtag_debug_module_arbitrator (
27
                                            // inputs:
28
                                             clk,
29
                                             cpu_1_data_master_address_to_slave,
30
                                             cpu_1_data_master_byteenable,
31
                                             cpu_1_data_master_debugaccess,
32
                                             cpu_1_data_master_latency_counter,
33
                                             cpu_1_data_master_read,
34
                                             cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
35
                                             cpu_1_data_master_write,
36
                                             cpu_1_data_master_writedata,
37
                                             cpu_1_instruction_master_address_to_slave,
38
                                             cpu_1_instruction_master_latency_counter,
39
                                             cpu_1_instruction_master_read,
40
                                             cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register,
41
                                             cpu_1_jtag_debug_module_readdata,
42
                                             cpu_1_jtag_debug_module_resetrequest,
43
                                             reset_n,
44
 
45
                                            // outputs:
46
                                             cpu_1_data_master_granted_cpu_1_jtag_debug_module,
47
                                             cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module,
48
                                             cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module,
49
                                             cpu_1_data_master_requests_cpu_1_jtag_debug_module,
50
                                             cpu_1_instruction_master_granted_cpu_1_jtag_debug_module,
51
                                             cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module,
52
                                             cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module,
53
                                             cpu_1_instruction_master_requests_cpu_1_jtag_debug_module,
54
                                             cpu_1_jtag_debug_module_address,
55
                                             cpu_1_jtag_debug_module_begintransfer,
56
                                             cpu_1_jtag_debug_module_byteenable,
57
                                             cpu_1_jtag_debug_module_chipselect,
58
                                             cpu_1_jtag_debug_module_debugaccess,
59
                                             cpu_1_jtag_debug_module_readdata_from_sa,
60
                                             cpu_1_jtag_debug_module_reset_n,
61
                                             cpu_1_jtag_debug_module_resetrequest_from_sa,
62
                                             cpu_1_jtag_debug_module_write,
63
                                             cpu_1_jtag_debug_module_writedata,
64
                                             d1_cpu_1_jtag_debug_module_end_xfer
65
                                          )
66
;
67
 
68
  output           cpu_1_data_master_granted_cpu_1_jtag_debug_module;
69
  output           cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module;
70
  output           cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module;
71
  output           cpu_1_data_master_requests_cpu_1_jtag_debug_module;
72
  output           cpu_1_instruction_master_granted_cpu_1_jtag_debug_module;
73
  output           cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module;
74
  output           cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module;
75
  output           cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
76
  output  [  8: 0] cpu_1_jtag_debug_module_address;
77
  output           cpu_1_jtag_debug_module_begintransfer;
78
  output  [  3: 0] cpu_1_jtag_debug_module_byteenable;
79
  output           cpu_1_jtag_debug_module_chipselect;
80
  output           cpu_1_jtag_debug_module_debugaccess;
81
  output  [ 31: 0] cpu_1_jtag_debug_module_readdata_from_sa;
82
  output           cpu_1_jtag_debug_module_reset_n;
83
  output           cpu_1_jtag_debug_module_resetrequest_from_sa;
84
  output           cpu_1_jtag_debug_module_write;
85
  output  [ 31: 0] cpu_1_jtag_debug_module_writedata;
86
  output           d1_cpu_1_jtag_debug_module_end_xfer;
87
  input            clk;
88
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
89
  input   [  3: 0] cpu_1_data_master_byteenable;
90
  input            cpu_1_data_master_debugaccess;
91
  input            cpu_1_data_master_latency_counter;
92
  input            cpu_1_data_master_read;
93
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
94
  input            cpu_1_data_master_write;
95
  input   [ 31: 0] cpu_1_data_master_writedata;
96
  input   [ 24: 0] cpu_1_instruction_master_address_to_slave;
97
  input            cpu_1_instruction_master_latency_counter;
98
  input            cpu_1_instruction_master_read;
99
  input            cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register;
100
  input   [ 31: 0] cpu_1_jtag_debug_module_readdata;
101
  input            cpu_1_jtag_debug_module_resetrequest;
102
  input            reset_n;
103
 
104
  wire             cpu_1_data_master_arbiterlock;
105
  wire             cpu_1_data_master_arbiterlock2;
106
  wire             cpu_1_data_master_continuerequest;
107
  wire             cpu_1_data_master_granted_cpu_1_jtag_debug_module;
108
  wire             cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module;
109
  wire             cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module;
110
  wire             cpu_1_data_master_requests_cpu_1_jtag_debug_module;
111
  wire             cpu_1_data_master_saved_grant_cpu_1_jtag_debug_module;
112
  wire             cpu_1_instruction_master_arbiterlock;
113
  wire             cpu_1_instruction_master_arbiterlock2;
114
  wire             cpu_1_instruction_master_continuerequest;
115
  wire             cpu_1_instruction_master_granted_cpu_1_jtag_debug_module;
116
  wire             cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module;
117
  wire             cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module;
118
  wire             cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
119
  wire             cpu_1_instruction_master_saved_grant_cpu_1_jtag_debug_module;
120
  wire    [  8: 0] cpu_1_jtag_debug_module_address;
121
  wire             cpu_1_jtag_debug_module_allgrants;
122
  wire             cpu_1_jtag_debug_module_allow_new_arb_cycle;
123
  wire             cpu_1_jtag_debug_module_any_bursting_master_saved_grant;
124
  wire             cpu_1_jtag_debug_module_any_continuerequest;
125
  reg     [  1: 0] cpu_1_jtag_debug_module_arb_addend;
126
  wire             cpu_1_jtag_debug_module_arb_counter_enable;
127
  reg     [  1: 0] cpu_1_jtag_debug_module_arb_share_counter;
128
  wire    [  1: 0] cpu_1_jtag_debug_module_arb_share_counter_next_value;
129
  wire    [  1: 0] cpu_1_jtag_debug_module_arb_share_set_values;
130
  wire    [  1: 0] cpu_1_jtag_debug_module_arb_winner;
131
  wire             cpu_1_jtag_debug_module_arbitration_holdoff_internal;
132
  wire             cpu_1_jtag_debug_module_beginbursttransfer_internal;
133
  wire             cpu_1_jtag_debug_module_begins_xfer;
134
  wire             cpu_1_jtag_debug_module_begintransfer;
135
  wire    [  3: 0] cpu_1_jtag_debug_module_byteenable;
136
  wire             cpu_1_jtag_debug_module_chipselect;
137
  wire    [  3: 0] cpu_1_jtag_debug_module_chosen_master_double_vector;
138
  wire    [  1: 0] cpu_1_jtag_debug_module_chosen_master_rot_left;
139
  wire             cpu_1_jtag_debug_module_debugaccess;
140
  wire             cpu_1_jtag_debug_module_end_xfer;
141
  wire             cpu_1_jtag_debug_module_firsttransfer;
142
  wire    [  1: 0] cpu_1_jtag_debug_module_grant_vector;
143
  wire             cpu_1_jtag_debug_module_in_a_read_cycle;
144
  wire             cpu_1_jtag_debug_module_in_a_write_cycle;
145
  wire    [  1: 0] cpu_1_jtag_debug_module_master_qreq_vector;
146
  wire             cpu_1_jtag_debug_module_non_bursting_master_requests;
147
  wire    [ 31: 0] cpu_1_jtag_debug_module_readdata_from_sa;
148
  reg              cpu_1_jtag_debug_module_reg_firsttransfer;
149
  wire             cpu_1_jtag_debug_module_reset_n;
150
  wire             cpu_1_jtag_debug_module_resetrequest_from_sa;
151
  reg     [  1: 0] cpu_1_jtag_debug_module_saved_chosen_master_vector;
152
  reg              cpu_1_jtag_debug_module_slavearbiterlockenable;
153
  wire             cpu_1_jtag_debug_module_slavearbiterlockenable2;
154
  wire             cpu_1_jtag_debug_module_unreg_firsttransfer;
155
  wire             cpu_1_jtag_debug_module_waits_for_read;
156
  wire             cpu_1_jtag_debug_module_waits_for_write;
157
  wire             cpu_1_jtag_debug_module_write;
158
  wire    [ 31: 0] cpu_1_jtag_debug_module_writedata;
159
  reg              d1_cpu_1_jtag_debug_module_end_xfer;
160
  reg              d1_reasons_to_wait;
161
  reg              enable_nonzero_assertions;
162
  wire             end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module;
163
  wire             in_a_read_cycle;
164
  wire             in_a_write_cycle;
165
  reg              last_cycle_cpu_1_data_master_granted_slave_cpu_1_jtag_debug_module;
166
  reg              last_cycle_cpu_1_instruction_master_granted_slave_cpu_1_jtag_debug_module;
167
  wire    [ 24: 0] shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_data_master;
168
  wire    [ 24: 0] shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_instruction_master;
169
  wire             wait_for_cpu_1_jtag_debug_module_counter;
170
  always @(posedge clk or negedge reset_n)
171
    begin
172
      if (reset_n == 0)
173
          d1_reasons_to_wait <= 0;
174
      else
175
        d1_reasons_to_wait <= ~cpu_1_jtag_debug_module_end_xfer;
176
    end
177
 
178
 
179
  assign cpu_1_jtag_debug_module_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module | cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module));
180
  //assign cpu_1_jtag_debug_module_readdata_from_sa = cpu_1_jtag_debug_module_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
181
  assign cpu_1_jtag_debug_module_readdata_from_sa = cpu_1_jtag_debug_module_readdata;
182
 
183
  assign cpu_1_data_master_requests_cpu_1_jtag_debug_module = ({cpu_1_data_master_address_to_slave[24 : 11] , 11'b0} == 25'h1000800) & (cpu_1_data_master_read | cpu_1_data_master_write);
184
  //cpu_1_jtag_debug_module_arb_share_counter set values, which is an e_mux
185
  assign cpu_1_jtag_debug_module_arb_share_set_values = 1;
186
 
187
  //cpu_1_jtag_debug_module_non_bursting_master_requests mux, which is an e_mux
188
  assign cpu_1_jtag_debug_module_non_bursting_master_requests = cpu_1_data_master_requests_cpu_1_jtag_debug_module |
189
    cpu_1_instruction_master_requests_cpu_1_jtag_debug_module |
190
    cpu_1_data_master_requests_cpu_1_jtag_debug_module |
191
    cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
192
 
193
  //cpu_1_jtag_debug_module_any_bursting_master_saved_grant mux, which is an e_mux
194
  assign cpu_1_jtag_debug_module_any_bursting_master_saved_grant = 0;
195
 
196
  //cpu_1_jtag_debug_module_arb_share_counter_next_value assignment, which is an e_assign
197
  assign cpu_1_jtag_debug_module_arb_share_counter_next_value = cpu_1_jtag_debug_module_firsttransfer ? (cpu_1_jtag_debug_module_arb_share_set_values - 1) : |cpu_1_jtag_debug_module_arb_share_counter ? (cpu_1_jtag_debug_module_arb_share_counter - 1) : 0;
198
 
199
  //cpu_1_jtag_debug_module_allgrants all slave grants, which is an e_mux
200
  assign cpu_1_jtag_debug_module_allgrants = (|cpu_1_jtag_debug_module_grant_vector) |
201
    (|cpu_1_jtag_debug_module_grant_vector) |
202
    (|cpu_1_jtag_debug_module_grant_vector) |
203
    (|cpu_1_jtag_debug_module_grant_vector);
204
 
205
  //cpu_1_jtag_debug_module_end_xfer assignment, which is an e_assign
206
  assign cpu_1_jtag_debug_module_end_xfer = ~(cpu_1_jtag_debug_module_waits_for_read | cpu_1_jtag_debug_module_waits_for_write);
207
 
208
  //end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module arb share counter enable term, which is an e_assign
209
  assign end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module = cpu_1_jtag_debug_module_end_xfer & (~cpu_1_jtag_debug_module_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
210
 
211
  //cpu_1_jtag_debug_module_arb_share_counter arbitration counter enable, which is an e_assign
212
  assign cpu_1_jtag_debug_module_arb_counter_enable = (end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module & cpu_1_jtag_debug_module_allgrants) | (end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module & ~cpu_1_jtag_debug_module_non_bursting_master_requests);
213
 
214
  //cpu_1_jtag_debug_module_arb_share_counter counter, which is an e_register
215
  always @(posedge clk or negedge reset_n)
216
    begin
217
      if (reset_n == 0)
218
          cpu_1_jtag_debug_module_arb_share_counter <= 0;
219
      else if (cpu_1_jtag_debug_module_arb_counter_enable)
220
          cpu_1_jtag_debug_module_arb_share_counter <= cpu_1_jtag_debug_module_arb_share_counter_next_value;
221
    end
222
 
223
 
224
  //cpu_1_jtag_debug_module_slavearbiterlockenable slave enables arbiterlock, which is an e_register
225
  always @(posedge clk or negedge reset_n)
226
    begin
227
      if (reset_n == 0)
228
          cpu_1_jtag_debug_module_slavearbiterlockenable <= 0;
229
      else if ((|cpu_1_jtag_debug_module_master_qreq_vector & end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module) | (end_xfer_arb_share_counter_term_cpu_1_jtag_debug_module & ~cpu_1_jtag_debug_module_non_bursting_master_requests))
230
          cpu_1_jtag_debug_module_slavearbiterlockenable <= |cpu_1_jtag_debug_module_arb_share_counter_next_value;
231
    end
232
 
233
 
234
  //cpu_1/data_master cpu_1/jtag_debug_module arbiterlock, which is an e_assign
235
  assign cpu_1_data_master_arbiterlock = cpu_1_jtag_debug_module_slavearbiterlockenable & cpu_1_data_master_continuerequest;
236
 
237
  //cpu_1_jtag_debug_module_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
238
  assign cpu_1_jtag_debug_module_slavearbiterlockenable2 = |cpu_1_jtag_debug_module_arb_share_counter_next_value;
239
 
240
  //cpu_1/data_master cpu_1/jtag_debug_module arbiterlock2, which is an e_assign
241
  assign cpu_1_data_master_arbiterlock2 = cpu_1_jtag_debug_module_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
242
 
243
  //cpu_1/instruction_master cpu_1/jtag_debug_module arbiterlock, which is an e_assign
244
  assign cpu_1_instruction_master_arbiterlock = cpu_1_jtag_debug_module_slavearbiterlockenable & cpu_1_instruction_master_continuerequest;
245
 
246
  //cpu_1/instruction_master cpu_1/jtag_debug_module arbiterlock2, which is an e_assign
247
  assign cpu_1_instruction_master_arbiterlock2 = cpu_1_jtag_debug_module_slavearbiterlockenable2 & cpu_1_instruction_master_continuerequest;
248
 
249
  //cpu_1/instruction_master granted cpu_1/jtag_debug_module last time, which is an e_register
250
  always @(posedge clk or negedge reset_n)
251
    begin
252
      if (reset_n == 0)
253
          last_cycle_cpu_1_instruction_master_granted_slave_cpu_1_jtag_debug_module <= 0;
254
      else
255
        last_cycle_cpu_1_instruction_master_granted_slave_cpu_1_jtag_debug_module <= cpu_1_instruction_master_saved_grant_cpu_1_jtag_debug_module ? 1 : (cpu_1_jtag_debug_module_arbitration_holdoff_internal | ~cpu_1_instruction_master_requests_cpu_1_jtag_debug_module) ? 0 : last_cycle_cpu_1_instruction_master_granted_slave_cpu_1_jtag_debug_module;
256
    end
257
 
258
 
259
  //cpu_1_instruction_master_continuerequest continued request, which is an e_mux
260
  assign cpu_1_instruction_master_continuerequest = last_cycle_cpu_1_instruction_master_granted_slave_cpu_1_jtag_debug_module & cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
261
 
262
  //cpu_1_jtag_debug_module_any_continuerequest at least one master continues requesting, which is an e_mux
263
  assign cpu_1_jtag_debug_module_any_continuerequest = cpu_1_instruction_master_continuerequest |
264
    cpu_1_data_master_continuerequest;
265
 
266
  assign cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module = cpu_1_data_master_requests_cpu_1_jtag_debug_module & ~((cpu_1_data_master_read & ((cpu_1_data_master_latency_counter != 0) | (|cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register))) | cpu_1_instruction_master_arbiterlock);
267
  //local readdatavalid cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module, which is an e_mux
268
  assign cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module = cpu_1_data_master_granted_cpu_1_jtag_debug_module & cpu_1_data_master_read & ~cpu_1_jtag_debug_module_waits_for_read;
269
 
270
  //cpu_1_jtag_debug_module_writedata mux, which is an e_mux
271
  assign cpu_1_jtag_debug_module_writedata = cpu_1_data_master_writedata;
272
 
273
  assign cpu_1_instruction_master_requests_cpu_1_jtag_debug_module = (({cpu_1_instruction_master_address_to_slave[24 : 11] , 11'b0} == 25'h1000800) & (cpu_1_instruction_master_read)) & cpu_1_instruction_master_read;
274
  //cpu_1/data_master granted cpu_1/jtag_debug_module last time, which is an e_register
275
  always @(posedge clk or negedge reset_n)
276
    begin
277
      if (reset_n == 0)
278
          last_cycle_cpu_1_data_master_granted_slave_cpu_1_jtag_debug_module <= 0;
279
      else
280
        last_cycle_cpu_1_data_master_granted_slave_cpu_1_jtag_debug_module <= cpu_1_data_master_saved_grant_cpu_1_jtag_debug_module ? 1 : (cpu_1_jtag_debug_module_arbitration_holdoff_internal | ~cpu_1_data_master_requests_cpu_1_jtag_debug_module) ? 0 : last_cycle_cpu_1_data_master_granted_slave_cpu_1_jtag_debug_module;
281
    end
282
 
283
 
284
  //cpu_1_data_master_continuerequest continued request, which is an e_mux
285
  assign cpu_1_data_master_continuerequest = last_cycle_cpu_1_data_master_granted_slave_cpu_1_jtag_debug_module & cpu_1_data_master_requests_cpu_1_jtag_debug_module;
286
 
287
  assign cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module = cpu_1_instruction_master_requests_cpu_1_jtag_debug_module & ~((cpu_1_instruction_master_read & ((cpu_1_instruction_master_latency_counter != 0) | (|cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register))) | cpu_1_data_master_arbiterlock);
288
  //local readdatavalid cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module, which is an e_mux
289
  assign cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module = cpu_1_instruction_master_granted_cpu_1_jtag_debug_module & cpu_1_instruction_master_read & ~cpu_1_jtag_debug_module_waits_for_read;
290
 
291
  //allow new arb cycle for cpu_1/jtag_debug_module, which is an e_assign
292
  assign cpu_1_jtag_debug_module_allow_new_arb_cycle = ~cpu_1_data_master_arbiterlock & ~cpu_1_instruction_master_arbiterlock;
293
 
294
  //cpu_1/instruction_master assignment into master qualified-requests vector for cpu_1/jtag_debug_module, which is an e_assign
295
  assign cpu_1_jtag_debug_module_master_qreq_vector[0] = cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module;
296
 
297
  //cpu_1/instruction_master grant cpu_1/jtag_debug_module, which is an e_assign
298
  assign cpu_1_instruction_master_granted_cpu_1_jtag_debug_module = cpu_1_jtag_debug_module_grant_vector[0];
299
 
300
  //cpu_1/instruction_master saved-grant cpu_1/jtag_debug_module, which is an e_assign
301
  assign cpu_1_instruction_master_saved_grant_cpu_1_jtag_debug_module = cpu_1_jtag_debug_module_arb_winner[0] && cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
302
 
303
  //cpu_1/data_master assignment into master qualified-requests vector for cpu_1/jtag_debug_module, which is an e_assign
304
  assign cpu_1_jtag_debug_module_master_qreq_vector[1] = cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module;
305
 
306
  //cpu_1/data_master grant cpu_1/jtag_debug_module, which is an e_assign
307
  assign cpu_1_data_master_granted_cpu_1_jtag_debug_module = cpu_1_jtag_debug_module_grant_vector[1];
308
 
309
  //cpu_1/data_master saved-grant cpu_1/jtag_debug_module, which is an e_assign
310
  assign cpu_1_data_master_saved_grant_cpu_1_jtag_debug_module = cpu_1_jtag_debug_module_arb_winner[1] && cpu_1_data_master_requests_cpu_1_jtag_debug_module;
311
 
312
  //cpu_1/jtag_debug_module chosen-master double-vector, which is an e_assign
313
  assign cpu_1_jtag_debug_module_chosen_master_double_vector = {cpu_1_jtag_debug_module_master_qreq_vector, cpu_1_jtag_debug_module_master_qreq_vector} & ({~cpu_1_jtag_debug_module_master_qreq_vector, ~cpu_1_jtag_debug_module_master_qreq_vector} + cpu_1_jtag_debug_module_arb_addend);
314
 
315
  //stable onehot encoding of arb winner
316
  assign cpu_1_jtag_debug_module_arb_winner = (cpu_1_jtag_debug_module_allow_new_arb_cycle & | cpu_1_jtag_debug_module_grant_vector) ? cpu_1_jtag_debug_module_grant_vector : cpu_1_jtag_debug_module_saved_chosen_master_vector;
317
 
318
  //saved cpu_1_jtag_debug_module_grant_vector, which is an e_register
319
  always @(posedge clk or negedge reset_n)
320
    begin
321
      if (reset_n == 0)
322
          cpu_1_jtag_debug_module_saved_chosen_master_vector <= 0;
323
      else if (cpu_1_jtag_debug_module_allow_new_arb_cycle)
324
          cpu_1_jtag_debug_module_saved_chosen_master_vector <= |cpu_1_jtag_debug_module_grant_vector ? cpu_1_jtag_debug_module_grant_vector : cpu_1_jtag_debug_module_saved_chosen_master_vector;
325
    end
326
 
327
 
328
  //onehot encoding of chosen master
329
  assign cpu_1_jtag_debug_module_grant_vector = {(cpu_1_jtag_debug_module_chosen_master_double_vector[1] | cpu_1_jtag_debug_module_chosen_master_double_vector[3]),
330
    (cpu_1_jtag_debug_module_chosen_master_double_vector[0] | cpu_1_jtag_debug_module_chosen_master_double_vector[2])};
331
 
332
  //cpu_1/jtag_debug_module chosen master rotated left, which is an e_assign
333
  assign cpu_1_jtag_debug_module_chosen_master_rot_left = (cpu_1_jtag_debug_module_arb_winner << 1) ? (cpu_1_jtag_debug_module_arb_winner << 1) : 1;
334
 
335
  //cpu_1/jtag_debug_module's addend for next-master-grant
336
  always @(posedge clk or negedge reset_n)
337
    begin
338
      if (reset_n == 0)
339
          cpu_1_jtag_debug_module_arb_addend <= 1;
340
      else if (|cpu_1_jtag_debug_module_grant_vector)
341
          cpu_1_jtag_debug_module_arb_addend <= cpu_1_jtag_debug_module_end_xfer? cpu_1_jtag_debug_module_chosen_master_rot_left : cpu_1_jtag_debug_module_grant_vector;
342
    end
343
 
344
 
345
  assign cpu_1_jtag_debug_module_begintransfer = cpu_1_jtag_debug_module_begins_xfer;
346
  //cpu_1_jtag_debug_module_reset_n assignment, which is an e_assign
347
  assign cpu_1_jtag_debug_module_reset_n = reset_n;
348
 
349
  //assign cpu_1_jtag_debug_module_resetrequest_from_sa = cpu_1_jtag_debug_module_resetrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
350
  assign cpu_1_jtag_debug_module_resetrequest_from_sa = cpu_1_jtag_debug_module_resetrequest;
351
 
352
  assign cpu_1_jtag_debug_module_chipselect = cpu_1_data_master_granted_cpu_1_jtag_debug_module | cpu_1_instruction_master_granted_cpu_1_jtag_debug_module;
353
  //cpu_1_jtag_debug_module_firsttransfer first transaction, which is an e_assign
354
  assign cpu_1_jtag_debug_module_firsttransfer = cpu_1_jtag_debug_module_begins_xfer ? cpu_1_jtag_debug_module_unreg_firsttransfer : cpu_1_jtag_debug_module_reg_firsttransfer;
355
 
356
  //cpu_1_jtag_debug_module_unreg_firsttransfer first transaction, which is an e_assign
357
  assign cpu_1_jtag_debug_module_unreg_firsttransfer = ~(cpu_1_jtag_debug_module_slavearbiterlockenable & cpu_1_jtag_debug_module_any_continuerequest);
358
 
359
  //cpu_1_jtag_debug_module_reg_firsttransfer first transaction, which is an e_register
360
  always @(posedge clk or negedge reset_n)
361
    begin
362
      if (reset_n == 0)
363
          cpu_1_jtag_debug_module_reg_firsttransfer <= 1'b1;
364
      else if (cpu_1_jtag_debug_module_begins_xfer)
365
          cpu_1_jtag_debug_module_reg_firsttransfer <= cpu_1_jtag_debug_module_unreg_firsttransfer;
366
    end
367
 
368
 
369
  //cpu_1_jtag_debug_module_beginbursttransfer_internal begin burst transfer, which is an e_assign
370
  assign cpu_1_jtag_debug_module_beginbursttransfer_internal = cpu_1_jtag_debug_module_begins_xfer;
371
 
372
  //cpu_1_jtag_debug_module_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
373
  assign cpu_1_jtag_debug_module_arbitration_holdoff_internal = cpu_1_jtag_debug_module_begins_xfer & cpu_1_jtag_debug_module_firsttransfer;
374
 
375
  //cpu_1_jtag_debug_module_write assignment, which is an e_mux
376
  assign cpu_1_jtag_debug_module_write = cpu_1_data_master_granted_cpu_1_jtag_debug_module & cpu_1_data_master_write;
377
 
378
  assign shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_data_master = cpu_1_data_master_address_to_slave;
379
  //cpu_1_jtag_debug_module_address mux, which is an e_mux
380
  assign cpu_1_jtag_debug_module_address = (cpu_1_data_master_granted_cpu_1_jtag_debug_module)? (shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_data_master >> 2) :
381
    (shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_instruction_master >> 2);
382
 
383
  assign shifted_address_to_cpu_1_jtag_debug_module_from_cpu_1_instruction_master = cpu_1_instruction_master_address_to_slave;
384
  //d1_cpu_1_jtag_debug_module_end_xfer register, which is an e_register
385
  always @(posedge clk or negedge reset_n)
386
    begin
387
      if (reset_n == 0)
388
          d1_cpu_1_jtag_debug_module_end_xfer <= 1;
389
      else
390
        d1_cpu_1_jtag_debug_module_end_xfer <= cpu_1_jtag_debug_module_end_xfer;
391
    end
392
 
393
 
394
  //cpu_1_jtag_debug_module_waits_for_read in a cycle, which is an e_mux
395
  assign cpu_1_jtag_debug_module_waits_for_read = cpu_1_jtag_debug_module_in_a_read_cycle & cpu_1_jtag_debug_module_begins_xfer;
396
 
397
  //cpu_1_jtag_debug_module_in_a_read_cycle assignment, which is an e_assign
398
  assign cpu_1_jtag_debug_module_in_a_read_cycle = (cpu_1_data_master_granted_cpu_1_jtag_debug_module & cpu_1_data_master_read) | (cpu_1_instruction_master_granted_cpu_1_jtag_debug_module & cpu_1_instruction_master_read);
399
 
400
  //in_a_read_cycle assignment, which is an e_mux
401
  assign in_a_read_cycle = cpu_1_jtag_debug_module_in_a_read_cycle;
402
 
403
  //cpu_1_jtag_debug_module_waits_for_write in a cycle, which is an e_mux
404
  assign cpu_1_jtag_debug_module_waits_for_write = cpu_1_jtag_debug_module_in_a_write_cycle & 0;
405
 
406
  //cpu_1_jtag_debug_module_in_a_write_cycle assignment, which is an e_assign
407
  assign cpu_1_jtag_debug_module_in_a_write_cycle = cpu_1_data_master_granted_cpu_1_jtag_debug_module & cpu_1_data_master_write;
408
 
409
  //in_a_write_cycle assignment, which is an e_mux
410
  assign in_a_write_cycle = cpu_1_jtag_debug_module_in_a_write_cycle;
411
 
412
  assign wait_for_cpu_1_jtag_debug_module_counter = 0;
413
  //cpu_1_jtag_debug_module_byteenable byte enable port mux, which is an e_mux
414
  assign cpu_1_jtag_debug_module_byteenable = (cpu_1_data_master_granted_cpu_1_jtag_debug_module)? cpu_1_data_master_byteenable :
415
    -1;
416
 
417
  //debugaccess mux, which is an e_mux
418
  assign cpu_1_jtag_debug_module_debugaccess = (cpu_1_data_master_granted_cpu_1_jtag_debug_module)? cpu_1_data_master_debugaccess :
419
    0;
420
 
421
 
422
//synthesis translate_off
423
//////////////// SIMULATION-ONLY CONTENTS
424
  //cpu_1/jtag_debug_module enable non-zero assertions, which is an e_register
425
  always @(posedge clk or negedge reset_n)
426
    begin
427
      if (reset_n == 0)
428
          enable_nonzero_assertions <= 0;
429
      else
430
        enable_nonzero_assertions <= 1'b1;
431
    end
432
 
433
 
434
  //grant signals are active simultaneously, which is an e_process
435
  always @(posedge clk)
436
    begin
437
      if (cpu_1_data_master_granted_cpu_1_jtag_debug_module + cpu_1_instruction_master_granted_cpu_1_jtag_debug_module > 1)
438
        begin
439
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
440
          $stop;
441
        end
442
    end
443
 
444
 
445
  //saved_grant signals are active simultaneously, which is an e_process
446
  always @(posedge clk)
447
    begin
448
      if (cpu_1_data_master_saved_grant_cpu_1_jtag_debug_module + cpu_1_instruction_master_saved_grant_cpu_1_jtag_debug_module > 1)
449
        begin
450
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
451
          $stop;
452
        end
453
    end
454
 
455
 
456
 
457
//////////////// END SIMULATION-ONLY CONTENTS
458
 
459
//synthesis translate_on
460
 
461
endmodule
462
 
463
 
464
// synthesis translate_off
465
`timescale 1ns / 1ps
466
// synthesis translate_on
467
 
468
// turn off superfluous verilog processor warnings 
469
// altera message_level Level1 
470
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
471
 
472
module cpu_1_data_master_arbitrator (
473
                                      // inputs:
474
                                       clk,
475
                                       cpu_1_data_master_address,
476
                                       cpu_1_data_master_byteenable,
477
                                       cpu_1_data_master_byteenable_sdram_1_s1,
478
                                       cpu_1_data_master_granted_cpu_1_jtag_debug_module,
479
                                       cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0,
480
                                       cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave,
481
                                       cpu_1_data_master_granted_onchip_memory_1_s2,
482
                                       cpu_1_data_master_granted_sdram_1_s1,
483
                                       cpu_1_data_master_granted_timer_1_s1,
484
                                       cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module,
485
                                       cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0,
486
                                       cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave,
487
                                       cpu_1_data_master_qualified_request_onchip_memory_1_s2,
488
                                       cpu_1_data_master_qualified_request_sdram_1_s1,
489
                                       cpu_1_data_master_qualified_request_timer_1_s1,
490
                                       cpu_1_data_master_read,
491
                                       cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module,
492
                                       cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0,
493
                                       cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave,
494
                                       cpu_1_data_master_read_data_valid_onchip_memory_1_s2,
495
                                       cpu_1_data_master_read_data_valid_sdram_1_s1,
496
                                       cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
497
                                       cpu_1_data_master_read_data_valid_timer_1_s1,
498
                                       cpu_1_data_master_requests_cpu_1_jtag_debug_module,
499
                                       cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0,
500
                                       cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave,
501
                                       cpu_1_data_master_requests_onchip_memory_1_s2,
502
                                       cpu_1_data_master_requests_sdram_1_s1,
503
                                       cpu_1_data_master_requests_timer_1_s1,
504
                                       cpu_1_data_master_write,
505
                                       cpu_1_data_master_writedata,
506
                                       cpu_1_jtag_debug_module_readdata_from_sa,
507
                                       d1_cpu_1_jtag_debug_module_end_xfer,
508
                                       d1_hibi_pe_dma_1_avalon_slave_0_end_xfer,
509
                                       d1_jtag_uart_1_avalon_jtag_slave_end_xfer,
510
                                       d1_onchip_memory_1_s2_end_xfer,
511
                                       d1_sdram_1_s1_end_xfer,
512
                                       d1_timer_1_s1_end_xfer,
513
                                       hibi_pe_dma_1_avalon_slave_0_irq_from_sa,
514
                                       hibi_pe_dma_1_avalon_slave_0_readdata_from_sa,
515
                                       hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa,
516
                                       jtag_uart_1_avalon_jtag_slave_irq_from_sa,
517
                                       jtag_uart_1_avalon_jtag_slave_readdata_from_sa,
518
                                       jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa,
519
                                       onchip_memory_1_s2_readdata_from_sa,
520
                                       reset_n,
521
                                       sdram_1_s1_readdata_from_sa,
522
                                       sdram_1_s1_waitrequest_from_sa,
523
                                       timer_1_s1_irq_from_sa,
524
                                       timer_1_s1_readdata_from_sa,
525
 
526
                                      // outputs:
527
                                       cpu_1_data_master_address_to_slave,
528
                                       cpu_1_data_master_dbs_address,
529
                                       cpu_1_data_master_dbs_write_16,
530
                                       cpu_1_data_master_irq,
531
                                       cpu_1_data_master_latency_counter,
532
                                       cpu_1_data_master_readdata,
533
                                       cpu_1_data_master_readdatavalid,
534
                                       cpu_1_data_master_waitrequest
535
                                    )
536
;
537
 
538
  output  [ 24: 0] cpu_1_data_master_address_to_slave;
539
  output  [  1: 0] cpu_1_data_master_dbs_address;
540
  output  [ 15: 0] cpu_1_data_master_dbs_write_16;
541
  output  [ 31: 0] cpu_1_data_master_irq;
542
  output           cpu_1_data_master_latency_counter;
543
  output  [ 31: 0] cpu_1_data_master_readdata;
544
  output           cpu_1_data_master_readdatavalid;
545
  output           cpu_1_data_master_waitrequest;
546
  input            clk;
547
  input   [ 24: 0] cpu_1_data_master_address;
548
  input   [  3: 0] cpu_1_data_master_byteenable;
549
  input   [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1;
550
  input            cpu_1_data_master_granted_cpu_1_jtag_debug_module;
551
  input            cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0;
552
  input            cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave;
553
  input            cpu_1_data_master_granted_onchip_memory_1_s2;
554
  input            cpu_1_data_master_granted_sdram_1_s1;
555
  input            cpu_1_data_master_granted_timer_1_s1;
556
  input            cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module;
557
  input            cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0;
558
  input            cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave;
559
  input            cpu_1_data_master_qualified_request_onchip_memory_1_s2;
560
  input            cpu_1_data_master_qualified_request_sdram_1_s1;
561
  input            cpu_1_data_master_qualified_request_timer_1_s1;
562
  input            cpu_1_data_master_read;
563
  input            cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module;
564
  input            cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0;
565
  input            cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave;
566
  input            cpu_1_data_master_read_data_valid_onchip_memory_1_s2;
567
  input            cpu_1_data_master_read_data_valid_sdram_1_s1;
568
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
569
  input            cpu_1_data_master_read_data_valid_timer_1_s1;
570
  input            cpu_1_data_master_requests_cpu_1_jtag_debug_module;
571
  input            cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
572
  input            cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
573
  input            cpu_1_data_master_requests_onchip_memory_1_s2;
574
  input            cpu_1_data_master_requests_sdram_1_s1;
575
  input            cpu_1_data_master_requests_timer_1_s1;
576
  input            cpu_1_data_master_write;
577
  input   [ 31: 0] cpu_1_data_master_writedata;
578
  input   [ 31: 0] cpu_1_jtag_debug_module_readdata_from_sa;
579
  input            d1_cpu_1_jtag_debug_module_end_xfer;
580
  input            d1_hibi_pe_dma_1_avalon_slave_0_end_xfer;
581
  input            d1_jtag_uart_1_avalon_jtag_slave_end_xfer;
582
  input            d1_onchip_memory_1_s2_end_xfer;
583
  input            d1_sdram_1_s1_end_xfer;
584
  input            d1_timer_1_s1_end_xfer;
585
  input            hibi_pe_dma_1_avalon_slave_0_irq_from_sa;
586
  input   [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata_from_sa;
587
  input            hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
588
  input            jtag_uart_1_avalon_jtag_slave_irq_from_sa;
589
  input   [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata_from_sa;
590
  input            jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
591
  input   [ 31: 0] onchip_memory_1_s2_readdata_from_sa;
592
  input            reset_n;
593
  input   [ 15: 0] sdram_1_s1_readdata_from_sa;
594
  input            sdram_1_s1_waitrequest_from_sa;
595
  input            timer_1_s1_irq_from_sa;
596
  input   [ 15: 0] timer_1_s1_readdata_from_sa;
597
 
598
  reg              active_and_waiting_last_time;
599
  reg     [ 24: 0] cpu_1_data_master_address_last_time;
600
  wire    [ 24: 0] cpu_1_data_master_address_to_slave;
601
  reg     [  3: 0] cpu_1_data_master_byteenable_last_time;
602
  reg     [  1: 0] cpu_1_data_master_dbs_address;
603
  wire    [  1: 0] cpu_1_data_master_dbs_increment;
604
  reg     [  1: 0] cpu_1_data_master_dbs_rdv_counter;
605
  wire    [  1: 0] cpu_1_data_master_dbs_rdv_counter_inc;
606
  wire    [ 15: 0] cpu_1_data_master_dbs_write_16;
607
  wire    [ 31: 0] cpu_1_data_master_irq;
608
  wire             cpu_1_data_master_is_granted_some_slave;
609
  reg              cpu_1_data_master_latency_counter;
610
  wire    [  1: 0] cpu_1_data_master_next_dbs_rdv_counter;
611
  reg              cpu_1_data_master_read_but_no_slave_selected;
612
  reg              cpu_1_data_master_read_last_time;
613
  wire    [ 31: 0] cpu_1_data_master_readdata;
614
  wire             cpu_1_data_master_readdatavalid;
615
  wire             cpu_1_data_master_run;
616
  wire             cpu_1_data_master_waitrequest;
617
  reg              cpu_1_data_master_write_last_time;
618
  reg     [ 31: 0] cpu_1_data_master_writedata_last_time;
619
  wire             dbs_count_enable;
620
  wire             dbs_counter_overflow;
621
  reg     [ 15: 0] dbs_latent_16_reg_segment_0;
622
  wire             dbs_rdv_count_enable;
623
  wire             dbs_rdv_counter_overflow;
624
  wire             latency_load_value;
625
  wire    [  1: 0] next_dbs_address;
626
  wire             p1_cpu_1_data_master_latency_counter;
627
  wire    [ 15: 0] p1_dbs_latent_16_reg_segment_0;
628
  wire             pre_dbs_count_enable;
629
  wire             pre_flush_cpu_1_data_master_readdatavalid;
630
  wire             r_0;
631
  wire             r_1;
632
  //r_0 master_run cascaded wait assignment, which is an e_assign
633
  assign r_0 = 1 & (cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module | ~cpu_1_data_master_requests_cpu_1_jtag_debug_module) & (cpu_1_data_master_granted_cpu_1_jtag_debug_module | ~cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module) & ((~cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module | ~cpu_1_data_master_read | (1 & ~d1_cpu_1_jtag_debug_module_end_xfer & cpu_1_data_master_read))) & ((~cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module | ~cpu_1_data_master_write | (1 & cpu_1_data_master_write))) & 1 & (cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 | ~cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0) & ((~cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & ~hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa & (cpu_1_data_master_read | cpu_1_data_master_write)))) & ((~cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & ~hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa & (cpu_1_data_master_read | cpu_1_data_master_write)))) & 1 & (cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave | ~cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave) & ((~cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & ~jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa & (cpu_1_data_master_read | cpu_1_data_master_write)))) & ((~cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & ~jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa & (cpu_1_data_master_read | cpu_1_data_master_write)))) & 1 & (cpu_1_data_master_qualified_request_onchip_memory_1_s2 | ~cpu_1_data_master_requests_onchip_memory_1_s2) & ((~cpu_1_data_master_qualified_request_onchip_memory_1_s2 | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & (cpu_1_data_master_read | cpu_1_data_master_write)))) & ((~cpu_1_data_master_qualified_request_onchip_memory_1_s2 | ~(cpu_1_data_master_read | cpu_1_data_master_write) | (1 & (cpu_1_data_master_read | cpu_1_data_master_write)))) & 1 & (cpu_1_data_master_qualified_request_sdram_1_s1 | (cpu_1_data_master_write & !cpu_1_data_master_byteenable_sdram_1_s1 & cpu_1_data_master_dbs_address[1]) | ~cpu_1_data_master_requests_sdram_1_s1) & (cpu_1_data_master_granted_sdram_1_s1 | ~cpu_1_data_master_qualified_request_sdram_1_s1);
634
 
635
  //cascaded wait assignment, which is an e_assign
636
  assign cpu_1_data_master_run = r_0 & r_1;
637
 
638
  //r_1 master_run cascaded wait assignment, which is an e_assign
639
  assign r_1 = ((~cpu_1_data_master_qualified_request_sdram_1_s1 | ~cpu_1_data_master_read | (1 & ~sdram_1_s1_waitrequest_from_sa & (cpu_1_data_master_dbs_address[1]) & cpu_1_data_master_read))) & ((~cpu_1_data_master_qualified_request_sdram_1_s1 | ~cpu_1_data_master_write | (1 & ~sdram_1_s1_waitrequest_from_sa & (cpu_1_data_master_dbs_address[1]) & cpu_1_data_master_write))) & 1 & (cpu_1_data_master_qualified_request_timer_1_s1 | ~cpu_1_data_master_requests_timer_1_s1) & ((~cpu_1_data_master_qualified_request_timer_1_s1 | ~cpu_1_data_master_read | (1 & ~d1_timer_1_s1_end_xfer & cpu_1_data_master_read))) & ((~cpu_1_data_master_qualified_request_timer_1_s1 | ~cpu_1_data_master_write | (1 & cpu_1_data_master_write)));
640
 
641
  //optimize select-logic by passing only those address bits which matter.
642
  assign cpu_1_data_master_address_to_slave = cpu_1_data_master_address[24 : 0];
643
 
644
  //cpu_1_data_master_read_but_no_slave_selected assignment, which is an e_register
645
  always @(posedge clk or negedge reset_n)
646
    begin
647
      if (reset_n == 0)
648
          cpu_1_data_master_read_but_no_slave_selected <= 0;
649
      else
650
        cpu_1_data_master_read_but_no_slave_selected <= cpu_1_data_master_read & cpu_1_data_master_run & ~cpu_1_data_master_is_granted_some_slave;
651
    end
652
 
653
 
654
  //some slave is getting selected, which is an e_mux
655
  assign cpu_1_data_master_is_granted_some_slave = cpu_1_data_master_granted_cpu_1_jtag_debug_module |
656
    cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 |
657
    cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave |
658
    cpu_1_data_master_granted_onchip_memory_1_s2 |
659
    cpu_1_data_master_granted_sdram_1_s1 |
660
    cpu_1_data_master_granted_timer_1_s1;
661
 
662
  //latent slave read data valids which may be flushed, which is an e_mux
663
  assign pre_flush_cpu_1_data_master_readdatavalid = cpu_1_data_master_read_data_valid_onchip_memory_1_s2 |
664
    (cpu_1_data_master_read_data_valid_sdram_1_s1 & dbs_rdv_counter_overflow);
665
 
666
  //latent slave read data valid which is not flushed, which is an e_mux
667
  assign cpu_1_data_master_readdatavalid = cpu_1_data_master_read_but_no_slave_selected |
668
    pre_flush_cpu_1_data_master_readdatavalid |
669
    cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module |
670
    cpu_1_data_master_read_but_no_slave_selected |
671
    pre_flush_cpu_1_data_master_readdatavalid |
672
    cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0 |
673
    cpu_1_data_master_read_but_no_slave_selected |
674
    pre_flush_cpu_1_data_master_readdatavalid |
675
    cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave |
676
    cpu_1_data_master_read_but_no_slave_selected |
677
    pre_flush_cpu_1_data_master_readdatavalid |
678
    cpu_1_data_master_read_but_no_slave_selected |
679
    pre_flush_cpu_1_data_master_readdatavalid |
680
    cpu_1_data_master_read_but_no_slave_selected |
681
    pre_flush_cpu_1_data_master_readdatavalid |
682
    cpu_1_data_master_read_data_valid_timer_1_s1;
683
 
684
  //cpu_1/data_master readdata mux, which is an e_mux
685
  assign cpu_1_data_master_readdata = ({32 {~(cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module & cpu_1_data_master_read)}} | cpu_1_jtag_debug_module_readdata_from_sa) &
686
    ({32 {~(cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_read)}} | hibi_pe_dma_1_avalon_slave_0_readdata_from_sa) &
687
    ({32 {~(cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_read)}} | jtag_uart_1_avalon_jtag_slave_readdata_from_sa) &
688
    ({32 {~cpu_1_data_master_read_data_valid_onchip_memory_1_s2}} | onchip_memory_1_s2_readdata_from_sa) &
689
    ({32 {~cpu_1_data_master_read_data_valid_sdram_1_s1}} | {sdram_1_s1_readdata_from_sa[15 : 0],
690
    dbs_latent_16_reg_segment_0}) &
691
    ({32 {~(cpu_1_data_master_qualified_request_timer_1_s1 & cpu_1_data_master_read)}} | timer_1_s1_readdata_from_sa);
692
 
693
  //actual waitrequest port, which is an e_assign
694
  assign cpu_1_data_master_waitrequest = ~cpu_1_data_master_run;
695
 
696
  //latent max counter, which is an e_register
697
  always @(posedge clk or negedge reset_n)
698
    begin
699
      if (reset_n == 0)
700
          cpu_1_data_master_latency_counter <= 0;
701
      else
702
        cpu_1_data_master_latency_counter <= p1_cpu_1_data_master_latency_counter;
703
    end
704
 
705
 
706
  //latency counter load mux, which is an e_mux
707
  assign p1_cpu_1_data_master_latency_counter = ((cpu_1_data_master_run & cpu_1_data_master_read))? latency_load_value :
708
    (cpu_1_data_master_latency_counter)? cpu_1_data_master_latency_counter - 1 :
709
    0;
710
 
711
  //read latency load values, which is an e_mux
712
  assign latency_load_value = {1 {cpu_1_data_master_requests_onchip_memory_1_s2}} & 1;
713
 
714
  //irq assign, which is an e_assign
715
  assign cpu_1_data_master_irq = {1'b0,
716
    1'b0,
717
    1'b0,
718
    1'b0,
719
    1'b0,
720
    1'b0,
721
    1'b0,
722
    1'b0,
723
    1'b0,
724
    1'b0,
725
    1'b0,
726
    1'b0,
727
    1'b0,
728
    1'b0,
729
    1'b0,
730
    1'b0,
731
    1'b0,
732
    1'b0,
733
    1'b0,
734
    1'b0,
735
    1'b0,
736
    1'b0,
737
    1'b0,
738
    1'b0,
739
    1'b0,
740
    1'b0,
741
    1'b0,
742
    1'b0,
743
    1'b0,
744
    jtag_uart_1_avalon_jtag_slave_irq_from_sa,
745
    timer_1_s1_irq_from_sa,
746
    hibi_pe_dma_1_avalon_slave_0_irq_from_sa};
747
 
748
  //pre dbs count enable, which is an e_mux
749
  assign pre_dbs_count_enable = (((~0) & cpu_1_data_master_requests_sdram_1_s1 & cpu_1_data_master_write & !cpu_1_data_master_byteenable_sdram_1_s1)) |
750
    (cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_read & 1 & 1 & ~sdram_1_s1_waitrequest_from_sa) |
751
    (cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_write & 1 & 1 & ~sdram_1_s1_waitrequest_from_sa);
752
 
753
  //input to latent dbs-16 stored 0, which is an e_mux
754
  assign p1_dbs_latent_16_reg_segment_0 = sdram_1_s1_readdata_from_sa;
755
 
756
  //dbs register for latent dbs-16 segment 0, which is an e_register
757
  always @(posedge clk or negedge reset_n)
758
    begin
759
      if (reset_n == 0)
760
          dbs_latent_16_reg_segment_0 <= 0;
761
      else if (dbs_rdv_count_enable & ((cpu_1_data_master_dbs_rdv_counter[1]) == 0))
762
          dbs_latent_16_reg_segment_0 <= p1_dbs_latent_16_reg_segment_0;
763
    end
764
 
765
 
766
  //mux write dbs 1, which is an e_mux
767
  assign cpu_1_data_master_dbs_write_16 = (cpu_1_data_master_dbs_address[1])? cpu_1_data_master_writedata[31 : 16] :
768
    cpu_1_data_master_writedata[15 : 0];
769
 
770
  //dbs count increment, which is an e_mux
771
  assign cpu_1_data_master_dbs_increment = (cpu_1_data_master_requests_sdram_1_s1)? 2 :
772
    0;
773
 
774
  //dbs counter overflow, which is an e_assign
775
  assign dbs_counter_overflow = cpu_1_data_master_dbs_address[1] & !(next_dbs_address[1]);
776
 
777
  //next master address, which is an e_assign
778
  assign next_dbs_address = cpu_1_data_master_dbs_address + cpu_1_data_master_dbs_increment;
779
 
780
  //dbs count enable, which is an e_mux
781
  assign dbs_count_enable = pre_dbs_count_enable;
782
 
783
  //dbs counter, which is an e_register
784
  always @(posedge clk or negedge reset_n)
785
    begin
786
      if (reset_n == 0)
787
          cpu_1_data_master_dbs_address <= 0;
788
      else if (dbs_count_enable)
789
          cpu_1_data_master_dbs_address <= next_dbs_address;
790
    end
791
 
792
 
793
  //p1 dbs rdv counter, which is an e_assign
794
  assign cpu_1_data_master_next_dbs_rdv_counter = cpu_1_data_master_dbs_rdv_counter + cpu_1_data_master_dbs_rdv_counter_inc;
795
 
796
  //cpu_1_data_master_rdv_inc_mux, which is an e_mux
797
  assign cpu_1_data_master_dbs_rdv_counter_inc = 2;
798
 
799
  //master any slave rdv, which is an e_mux
800
  assign dbs_rdv_count_enable = cpu_1_data_master_read_data_valid_sdram_1_s1;
801
 
802
  //dbs rdv counter, which is an e_register
803
  always @(posedge clk or negedge reset_n)
804
    begin
805
      if (reset_n == 0)
806
          cpu_1_data_master_dbs_rdv_counter <= 0;
807
      else if (dbs_rdv_count_enable)
808
          cpu_1_data_master_dbs_rdv_counter <= cpu_1_data_master_next_dbs_rdv_counter;
809
    end
810
 
811
 
812
  //dbs rdv counter overflow, which is an e_assign
813
  assign dbs_rdv_counter_overflow = cpu_1_data_master_dbs_rdv_counter[1] & ~cpu_1_data_master_next_dbs_rdv_counter[1];
814
 
815
 
816
//synthesis translate_off
817
//////////////// SIMULATION-ONLY CONTENTS
818
  //cpu_1_data_master_address check against wait, which is an e_register
819
  always @(posedge clk or negedge reset_n)
820
    begin
821
      if (reset_n == 0)
822
          cpu_1_data_master_address_last_time <= 0;
823
      else
824
        cpu_1_data_master_address_last_time <= cpu_1_data_master_address;
825
    end
826
 
827
 
828
  //cpu_1/data_master waited last time, which is an e_register
829
  always @(posedge clk or negedge reset_n)
830
    begin
831
      if (reset_n == 0)
832
          active_and_waiting_last_time <= 0;
833
      else
834
        active_and_waiting_last_time <= cpu_1_data_master_waitrequest & (cpu_1_data_master_read | cpu_1_data_master_write);
835
    end
836
 
837
 
838
  //cpu_1_data_master_address matches last port_name, which is an e_process
839
  always @(posedge clk)
840
    begin
841
      if (active_and_waiting_last_time & (cpu_1_data_master_address != cpu_1_data_master_address_last_time))
842
        begin
843
          $write("%0d ns: cpu_1_data_master_address did not heed wait!!!", $time);
844
          $stop;
845
        end
846
    end
847
 
848
 
849
  //cpu_1_data_master_byteenable check against wait, which is an e_register
850
  always @(posedge clk or negedge reset_n)
851
    begin
852
      if (reset_n == 0)
853
          cpu_1_data_master_byteenable_last_time <= 0;
854
      else
855
        cpu_1_data_master_byteenable_last_time <= cpu_1_data_master_byteenable;
856
    end
857
 
858
 
859
  //cpu_1_data_master_byteenable matches last port_name, which is an e_process
860
  always @(posedge clk)
861
    begin
862
      if (active_and_waiting_last_time & (cpu_1_data_master_byteenable != cpu_1_data_master_byteenable_last_time))
863
        begin
864
          $write("%0d ns: cpu_1_data_master_byteenable did not heed wait!!!", $time);
865
          $stop;
866
        end
867
    end
868
 
869
 
870
  //cpu_1_data_master_read check against wait, which is an e_register
871
  always @(posedge clk or negedge reset_n)
872
    begin
873
      if (reset_n == 0)
874
          cpu_1_data_master_read_last_time <= 0;
875
      else
876
        cpu_1_data_master_read_last_time <= cpu_1_data_master_read;
877
    end
878
 
879
 
880
  //cpu_1_data_master_read matches last port_name, which is an e_process
881
  always @(posedge clk)
882
    begin
883
      if (active_and_waiting_last_time & (cpu_1_data_master_read != cpu_1_data_master_read_last_time))
884
        begin
885
          $write("%0d ns: cpu_1_data_master_read did not heed wait!!!", $time);
886
          $stop;
887
        end
888
    end
889
 
890
 
891
  //cpu_1_data_master_write check against wait, which is an e_register
892
  always @(posedge clk or negedge reset_n)
893
    begin
894
      if (reset_n == 0)
895
          cpu_1_data_master_write_last_time <= 0;
896
      else
897
        cpu_1_data_master_write_last_time <= cpu_1_data_master_write;
898
    end
899
 
900
 
901
  //cpu_1_data_master_write matches last port_name, which is an e_process
902
  always @(posedge clk)
903
    begin
904
      if (active_and_waiting_last_time & (cpu_1_data_master_write != cpu_1_data_master_write_last_time))
905
        begin
906
          $write("%0d ns: cpu_1_data_master_write did not heed wait!!!", $time);
907
          $stop;
908
        end
909
    end
910
 
911
 
912
  //cpu_1_data_master_writedata check against wait, which is an e_register
913
  always @(posedge clk or negedge reset_n)
914
    begin
915
      if (reset_n == 0)
916
          cpu_1_data_master_writedata_last_time <= 0;
917
      else
918
        cpu_1_data_master_writedata_last_time <= cpu_1_data_master_writedata;
919
    end
920
 
921
 
922
  //cpu_1_data_master_writedata matches last port_name, which is an e_process
923
  always @(posedge clk)
924
    begin
925
      if (active_and_waiting_last_time & (cpu_1_data_master_writedata != cpu_1_data_master_writedata_last_time) & cpu_1_data_master_write)
926
        begin
927
          $write("%0d ns: cpu_1_data_master_writedata did not heed wait!!!", $time);
928
          $stop;
929
        end
930
    end
931
 
932
 
933
 
934
//////////////// END SIMULATION-ONLY CONTENTS
935
 
936
//synthesis translate_on
937
 
938
endmodule
939
 
940
 
941
// synthesis translate_off
942
`timescale 1ns / 1ps
943
// synthesis translate_on
944
 
945
// turn off superfluous verilog processor warnings 
946
// altera message_level Level1 
947
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
948
 
949
module cpu_1_instruction_master_arbitrator (
950
                                             // inputs:
951
                                              clk,
952
                                              cpu_1_instruction_master_address,
953
                                              cpu_1_instruction_master_granted_cpu_1_jtag_debug_module,
954
                                              cpu_1_instruction_master_granted_sdram_1_s1,
955
                                              cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module,
956
                                              cpu_1_instruction_master_qualified_request_sdram_1_s1,
957
                                              cpu_1_instruction_master_read,
958
                                              cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module,
959
                                              cpu_1_instruction_master_read_data_valid_sdram_1_s1,
960
                                              cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register,
961
                                              cpu_1_instruction_master_requests_cpu_1_jtag_debug_module,
962
                                              cpu_1_instruction_master_requests_sdram_1_s1,
963
                                              cpu_1_jtag_debug_module_readdata_from_sa,
964
                                              d1_cpu_1_jtag_debug_module_end_xfer,
965
                                              d1_sdram_1_s1_end_xfer,
966
                                              reset_n,
967
                                              sdram_1_s1_readdata_from_sa,
968
                                              sdram_1_s1_waitrequest_from_sa,
969
 
970
                                             // outputs:
971
                                              cpu_1_instruction_master_address_to_slave,
972
                                              cpu_1_instruction_master_dbs_address,
973
                                              cpu_1_instruction_master_latency_counter,
974
                                              cpu_1_instruction_master_readdata,
975
                                              cpu_1_instruction_master_readdatavalid,
976
                                              cpu_1_instruction_master_waitrequest
977
                                           )
978
;
979
 
980
  output  [ 24: 0] cpu_1_instruction_master_address_to_slave;
981
  output  [  1: 0] cpu_1_instruction_master_dbs_address;
982
  output           cpu_1_instruction_master_latency_counter;
983
  output  [ 31: 0] cpu_1_instruction_master_readdata;
984
  output           cpu_1_instruction_master_readdatavalid;
985
  output           cpu_1_instruction_master_waitrequest;
986
  input            clk;
987
  input   [ 24: 0] cpu_1_instruction_master_address;
988
  input            cpu_1_instruction_master_granted_cpu_1_jtag_debug_module;
989
  input            cpu_1_instruction_master_granted_sdram_1_s1;
990
  input            cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module;
991
  input            cpu_1_instruction_master_qualified_request_sdram_1_s1;
992
  input            cpu_1_instruction_master_read;
993
  input            cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module;
994
  input            cpu_1_instruction_master_read_data_valid_sdram_1_s1;
995
  input            cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register;
996
  input            cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
997
  input            cpu_1_instruction_master_requests_sdram_1_s1;
998
  input   [ 31: 0] cpu_1_jtag_debug_module_readdata_from_sa;
999
  input            d1_cpu_1_jtag_debug_module_end_xfer;
1000
  input            d1_sdram_1_s1_end_xfer;
1001
  input            reset_n;
1002
  input   [ 15: 0] sdram_1_s1_readdata_from_sa;
1003
  input            sdram_1_s1_waitrequest_from_sa;
1004
 
1005
  reg              active_and_waiting_last_time;
1006
  reg     [ 24: 0] cpu_1_instruction_master_address_last_time;
1007
  wire    [ 24: 0] cpu_1_instruction_master_address_to_slave;
1008
  reg     [  1: 0] cpu_1_instruction_master_dbs_address;
1009
  wire    [  1: 0] cpu_1_instruction_master_dbs_increment;
1010
  reg     [  1: 0] cpu_1_instruction_master_dbs_rdv_counter;
1011
  wire    [  1: 0] cpu_1_instruction_master_dbs_rdv_counter_inc;
1012
  wire             cpu_1_instruction_master_is_granted_some_slave;
1013
  reg              cpu_1_instruction_master_latency_counter;
1014
  wire    [  1: 0] cpu_1_instruction_master_next_dbs_rdv_counter;
1015
  reg              cpu_1_instruction_master_read_but_no_slave_selected;
1016
  reg              cpu_1_instruction_master_read_last_time;
1017
  wire    [ 31: 0] cpu_1_instruction_master_readdata;
1018
  wire             cpu_1_instruction_master_readdatavalid;
1019
  wire             cpu_1_instruction_master_run;
1020
  wire             cpu_1_instruction_master_waitrequest;
1021
  wire             dbs_count_enable;
1022
  wire             dbs_counter_overflow;
1023
  reg     [ 15: 0] dbs_latent_16_reg_segment_0;
1024
  wire             dbs_rdv_count_enable;
1025
  wire             dbs_rdv_counter_overflow;
1026
  wire             latency_load_value;
1027
  wire    [  1: 0] next_dbs_address;
1028
  wire             p1_cpu_1_instruction_master_latency_counter;
1029
  wire    [ 15: 0] p1_dbs_latent_16_reg_segment_0;
1030
  wire             pre_dbs_count_enable;
1031
  wire             pre_flush_cpu_1_instruction_master_readdatavalid;
1032
  wire             r_0;
1033
  wire             r_1;
1034
  //r_0 master_run cascaded wait assignment, which is an e_assign
1035
  assign r_0 = 1 & (cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module | ~cpu_1_instruction_master_requests_cpu_1_jtag_debug_module) & (cpu_1_instruction_master_granted_cpu_1_jtag_debug_module | ~cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module) & ((~cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module | ~cpu_1_instruction_master_read | (1 & ~d1_cpu_1_jtag_debug_module_end_xfer & cpu_1_instruction_master_read))) & 1 & (cpu_1_instruction_master_qualified_request_sdram_1_s1 | ~cpu_1_instruction_master_requests_sdram_1_s1);
1036
 
1037
  //cascaded wait assignment, which is an e_assign
1038
  assign cpu_1_instruction_master_run = r_0 & r_1;
1039
 
1040
  //r_1 master_run cascaded wait assignment, which is an e_assign
1041
  assign r_1 = (cpu_1_instruction_master_granted_sdram_1_s1 | ~cpu_1_instruction_master_qualified_request_sdram_1_s1) & ((~cpu_1_instruction_master_qualified_request_sdram_1_s1 | ~cpu_1_instruction_master_read | (1 & ~sdram_1_s1_waitrequest_from_sa & (cpu_1_instruction_master_dbs_address[1]) & cpu_1_instruction_master_read)));
1042
 
1043
  //optimize select-logic by passing only those address bits which matter.
1044
  assign cpu_1_instruction_master_address_to_slave = cpu_1_instruction_master_address[24 : 0];
1045
 
1046
  //cpu_1_instruction_master_read_but_no_slave_selected assignment, which is an e_register
1047
  always @(posedge clk or negedge reset_n)
1048
    begin
1049
      if (reset_n == 0)
1050
          cpu_1_instruction_master_read_but_no_slave_selected <= 0;
1051
      else
1052
        cpu_1_instruction_master_read_but_no_slave_selected <= cpu_1_instruction_master_read & cpu_1_instruction_master_run & ~cpu_1_instruction_master_is_granted_some_slave;
1053
    end
1054
 
1055
 
1056
  //some slave is getting selected, which is an e_mux
1057
  assign cpu_1_instruction_master_is_granted_some_slave = cpu_1_instruction_master_granted_cpu_1_jtag_debug_module |
1058
    cpu_1_instruction_master_granted_sdram_1_s1;
1059
 
1060
  //latent slave read data valids which may be flushed, which is an e_mux
1061
  assign pre_flush_cpu_1_instruction_master_readdatavalid = cpu_1_instruction_master_read_data_valid_sdram_1_s1 & dbs_rdv_counter_overflow;
1062
 
1063
  //latent slave read data valid which is not flushed, which is an e_mux
1064
  assign cpu_1_instruction_master_readdatavalid = cpu_1_instruction_master_read_but_no_slave_selected |
1065
    pre_flush_cpu_1_instruction_master_readdatavalid |
1066
    cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module |
1067
    cpu_1_instruction_master_read_but_no_slave_selected |
1068
    pre_flush_cpu_1_instruction_master_readdatavalid;
1069
 
1070
  //cpu_1/instruction_master readdata mux, which is an e_mux
1071
  assign cpu_1_instruction_master_readdata = ({32 {~(cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module & cpu_1_instruction_master_read)}} | cpu_1_jtag_debug_module_readdata_from_sa) &
1072
    ({32 {~cpu_1_instruction_master_read_data_valid_sdram_1_s1}} | {sdram_1_s1_readdata_from_sa[15 : 0],
1073
    dbs_latent_16_reg_segment_0});
1074
 
1075
  //actual waitrequest port, which is an e_assign
1076
  assign cpu_1_instruction_master_waitrequest = ~cpu_1_instruction_master_run;
1077
 
1078
  //latent max counter, which is an e_register
1079
  always @(posedge clk or negedge reset_n)
1080
    begin
1081
      if (reset_n == 0)
1082
          cpu_1_instruction_master_latency_counter <= 0;
1083
      else
1084
        cpu_1_instruction_master_latency_counter <= p1_cpu_1_instruction_master_latency_counter;
1085
    end
1086
 
1087
 
1088
  //latency counter load mux, which is an e_mux
1089
  assign p1_cpu_1_instruction_master_latency_counter = ((cpu_1_instruction_master_run & cpu_1_instruction_master_read))? latency_load_value :
1090
    (cpu_1_instruction_master_latency_counter)? cpu_1_instruction_master_latency_counter - 1 :
1091
    0;
1092
 
1093
  //read latency load values, which is an e_mux
1094
  assign latency_load_value = 0;
1095
 
1096
  //input to latent dbs-16 stored 0, which is an e_mux
1097
  assign p1_dbs_latent_16_reg_segment_0 = sdram_1_s1_readdata_from_sa;
1098
 
1099
  //dbs register for latent dbs-16 segment 0, which is an e_register
1100
  always @(posedge clk or negedge reset_n)
1101
    begin
1102
      if (reset_n == 0)
1103
          dbs_latent_16_reg_segment_0 <= 0;
1104
      else if (dbs_rdv_count_enable & ((cpu_1_instruction_master_dbs_rdv_counter[1]) == 0))
1105
          dbs_latent_16_reg_segment_0 <= p1_dbs_latent_16_reg_segment_0;
1106
    end
1107
 
1108
 
1109
  //dbs count increment, which is an e_mux
1110
  assign cpu_1_instruction_master_dbs_increment = (cpu_1_instruction_master_requests_sdram_1_s1)? 2 :
1111
    0;
1112
 
1113
  //dbs counter overflow, which is an e_assign
1114
  assign dbs_counter_overflow = cpu_1_instruction_master_dbs_address[1] & !(next_dbs_address[1]);
1115
 
1116
  //next master address, which is an e_assign
1117
  assign next_dbs_address = cpu_1_instruction_master_dbs_address + cpu_1_instruction_master_dbs_increment;
1118
 
1119
  //dbs count enable, which is an e_mux
1120
  assign dbs_count_enable = pre_dbs_count_enable;
1121
 
1122
  //dbs counter, which is an e_register
1123
  always @(posedge clk or negedge reset_n)
1124
    begin
1125
      if (reset_n == 0)
1126
          cpu_1_instruction_master_dbs_address <= 0;
1127
      else if (dbs_count_enable)
1128
          cpu_1_instruction_master_dbs_address <= next_dbs_address;
1129
    end
1130
 
1131
 
1132
  //p1 dbs rdv counter, which is an e_assign
1133
  assign cpu_1_instruction_master_next_dbs_rdv_counter = cpu_1_instruction_master_dbs_rdv_counter + cpu_1_instruction_master_dbs_rdv_counter_inc;
1134
 
1135
  //cpu_1_instruction_master_rdv_inc_mux, which is an e_mux
1136
  assign cpu_1_instruction_master_dbs_rdv_counter_inc = 2;
1137
 
1138
  //master any slave rdv, which is an e_mux
1139
  assign dbs_rdv_count_enable = cpu_1_instruction_master_read_data_valid_sdram_1_s1;
1140
 
1141
  //dbs rdv counter, which is an e_register
1142
  always @(posedge clk or negedge reset_n)
1143
    begin
1144
      if (reset_n == 0)
1145
          cpu_1_instruction_master_dbs_rdv_counter <= 0;
1146
      else if (dbs_rdv_count_enable)
1147
          cpu_1_instruction_master_dbs_rdv_counter <= cpu_1_instruction_master_next_dbs_rdv_counter;
1148
    end
1149
 
1150
 
1151
  //dbs rdv counter overflow, which is an e_assign
1152
  assign dbs_rdv_counter_overflow = cpu_1_instruction_master_dbs_rdv_counter[1] & ~cpu_1_instruction_master_next_dbs_rdv_counter[1];
1153
 
1154
  //pre dbs count enable, which is an e_mux
1155
  assign pre_dbs_count_enable = cpu_1_instruction_master_granted_sdram_1_s1 & cpu_1_instruction_master_read & 1 & 1 & ~sdram_1_s1_waitrequest_from_sa;
1156
 
1157
 
1158
//synthesis translate_off
1159
//////////////// SIMULATION-ONLY CONTENTS
1160
  //cpu_1_instruction_master_address check against wait, which is an e_register
1161
  always @(posedge clk or negedge reset_n)
1162
    begin
1163
      if (reset_n == 0)
1164
          cpu_1_instruction_master_address_last_time <= 0;
1165
      else
1166
        cpu_1_instruction_master_address_last_time <= cpu_1_instruction_master_address;
1167
    end
1168
 
1169
 
1170
  //cpu_1/instruction_master waited last time, which is an e_register
1171
  always @(posedge clk or negedge reset_n)
1172
    begin
1173
      if (reset_n == 0)
1174
          active_and_waiting_last_time <= 0;
1175
      else
1176
        active_and_waiting_last_time <= cpu_1_instruction_master_waitrequest & (cpu_1_instruction_master_read);
1177
    end
1178
 
1179
 
1180
  //cpu_1_instruction_master_address matches last port_name, which is an e_process
1181
  always @(posedge clk)
1182
    begin
1183
      if (active_and_waiting_last_time & (cpu_1_instruction_master_address != cpu_1_instruction_master_address_last_time))
1184
        begin
1185
          $write("%0d ns: cpu_1_instruction_master_address did not heed wait!!!", $time);
1186
          $stop;
1187
        end
1188
    end
1189
 
1190
 
1191
  //cpu_1_instruction_master_read check against wait, which is an e_register
1192
  always @(posedge clk or negedge reset_n)
1193
    begin
1194
      if (reset_n == 0)
1195
          cpu_1_instruction_master_read_last_time <= 0;
1196
      else
1197
        cpu_1_instruction_master_read_last_time <= cpu_1_instruction_master_read;
1198
    end
1199
 
1200
 
1201
  //cpu_1_instruction_master_read matches last port_name, which is an e_process
1202
  always @(posedge clk)
1203
    begin
1204
      if (active_and_waiting_last_time & (cpu_1_instruction_master_read != cpu_1_instruction_master_read_last_time))
1205
        begin
1206
          $write("%0d ns: cpu_1_instruction_master_read did not heed wait!!!", $time);
1207
          $stop;
1208
        end
1209
    end
1210
 
1211
 
1212
 
1213
//////////////// END SIMULATION-ONLY CONTENTS
1214
 
1215
//synthesis translate_on
1216
 
1217
endmodule
1218
 
1219
 
1220
// synthesis translate_off
1221
`timescale 1ns / 1ps
1222
// synthesis translate_on
1223
 
1224
// turn off superfluous verilog processor warnings 
1225
// altera message_level Level1 
1226
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1227
 
1228
module hibi_pe_dma_1_avalon_slave_0_arbitrator (
1229
                                                 // inputs:
1230
                                                  clk,
1231
                                                  cpu_1_data_master_address_to_slave,
1232
                                                  cpu_1_data_master_latency_counter,
1233
                                                  cpu_1_data_master_read,
1234
                                                  cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
1235
                                                  cpu_1_data_master_write,
1236
                                                  cpu_1_data_master_writedata,
1237
                                                  hibi_pe_dma_1_avalon_slave_0_irq,
1238
                                                  hibi_pe_dma_1_avalon_slave_0_readdata,
1239
                                                  hibi_pe_dma_1_avalon_slave_0_waitrequest,
1240
                                                  reset_n,
1241
 
1242
                                                 // outputs:
1243
                                                  cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0,
1244
                                                  cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0,
1245
                                                  cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0,
1246
                                                  cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0,
1247
                                                  d1_hibi_pe_dma_1_avalon_slave_0_end_xfer,
1248
                                                  hibi_pe_dma_1_avalon_slave_0_address,
1249
                                                  hibi_pe_dma_1_avalon_slave_0_chipselect,
1250
                                                  hibi_pe_dma_1_avalon_slave_0_irq_from_sa,
1251
                                                  hibi_pe_dma_1_avalon_slave_0_read,
1252
                                                  hibi_pe_dma_1_avalon_slave_0_readdata_from_sa,
1253
                                                  hibi_pe_dma_1_avalon_slave_0_reset_n,
1254
                                                  hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa,
1255
                                                  hibi_pe_dma_1_avalon_slave_0_write,
1256
                                                  hibi_pe_dma_1_avalon_slave_0_writedata
1257
                                               )
1258
;
1259
 
1260
  output           cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0;
1261
  output           cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0;
1262
  output           cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0;
1263
  output           cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
1264
  output           d1_hibi_pe_dma_1_avalon_slave_0_end_xfer;
1265
  output  [  6: 0] hibi_pe_dma_1_avalon_slave_0_address;
1266
  output           hibi_pe_dma_1_avalon_slave_0_chipselect;
1267
  output           hibi_pe_dma_1_avalon_slave_0_irq_from_sa;
1268
  output           hibi_pe_dma_1_avalon_slave_0_read;
1269
  output  [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata_from_sa;
1270
  output           hibi_pe_dma_1_avalon_slave_0_reset_n;
1271
  output           hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
1272
  output           hibi_pe_dma_1_avalon_slave_0_write;
1273
  output  [ 31: 0] hibi_pe_dma_1_avalon_slave_0_writedata;
1274
  input            clk;
1275
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
1276
  input            cpu_1_data_master_latency_counter;
1277
  input            cpu_1_data_master_read;
1278
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
1279
  input            cpu_1_data_master_write;
1280
  input   [ 31: 0] cpu_1_data_master_writedata;
1281
  input            hibi_pe_dma_1_avalon_slave_0_irq;
1282
  input   [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata;
1283
  input            hibi_pe_dma_1_avalon_slave_0_waitrequest;
1284
  input            reset_n;
1285
 
1286
  wire             cpu_1_data_master_arbiterlock;
1287
  wire             cpu_1_data_master_arbiterlock2;
1288
  wire             cpu_1_data_master_continuerequest;
1289
  wire             cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0;
1290
  wire             cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0;
1291
  wire             cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0;
1292
  wire             cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
1293
  wire             cpu_1_data_master_saved_grant_hibi_pe_dma_1_avalon_slave_0;
1294
  reg              d1_hibi_pe_dma_1_avalon_slave_0_end_xfer;
1295
  reg              d1_reasons_to_wait;
1296
  reg              enable_nonzero_assertions;
1297
  wire             end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0;
1298
  wire    [  6: 0] hibi_pe_dma_1_avalon_slave_0_address;
1299
  wire             hibi_pe_dma_1_avalon_slave_0_allgrants;
1300
  wire             hibi_pe_dma_1_avalon_slave_0_allow_new_arb_cycle;
1301
  wire             hibi_pe_dma_1_avalon_slave_0_any_bursting_master_saved_grant;
1302
  wire             hibi_pe_dma_1_avalon_slave_0_any_continuerequest;
1303
  wire             hibi_pe_dma_1_avalon_slave_0_arb_counter_enable;
1304
  reg     [  1: 0] hibi_pe_dma_1_avalon_slave_0_arb_share_counter;
1305
  wire    [  1: 0] hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value;
1306
  wire    [  1: 0] hibi_pe_dma_1_avalon_slave_0_arb_share_set_values;
1307
  wire             hibi_pe_dma_1_avalon_slave_0_beginbursttransfer_internal;
1308
  wire             hibi_pe_dma_1_avalon_slave_0_begins_xfer;
1309
  wire             hibi_pe_dma_1_avalon_slave_0_chipselect;
1310
  wire             hibi_pe_dma_1_avalon_slave_0_end_xfer;
1311
  wire             hibi_pe_dma_1_avalon_slave_0_firsttransfer;
1312
  wire             hibi_pe_dma_1_avalon_slave_0_grant_vector;
1313
  wire             hibi_pe_dma_1_avalon_slave_0_in_a_read_cycle;
1314
  wire             hibi_pe_dma_1_avalon_slave_0_in_a_write_cycle;
1315
  wire             hibi_pe_dma_1_avalon_slave_0_irq_from_sa;
1316
  wire             hibi_pe_dma_1_avalon_slave_0_master_qreq_vector;
1317
  wire             hibi_pe_dma_1_avalon_slave_0_non_bursting_master_requests;
1318
  wire             hibi_pe_dma_1_avalon_slave_0_read;
1319
  wire    [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata_from_sa;
1320
  reg              hibi_pe_dma_1_avalon_slave_0_reg_firsttransfer;
1321
  wire             hibi_pe_dma_1_avalon_slave_0_reset_n;
1322
  reg              hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable;
1323
  wire             hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable2;
1324
  wire             hibi_pe_dma_1_avalon_slave_0_unreg_firsttransfer;
1325
  wire             hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
1326
  wire             hibi_pe_dma_1_avalon_slave_0_waits_for_read;
1327
  wire             hibi_pe_dma_1_avalon_slave_0_waits_for_write;
1328
  wire             hibi_pe_dma_1_avalon_slave_0_write;
1329
  wire    [ 31: 0] hibi_pe_dma_1_avalon_slave_0_writedata;
1330
  wire             in_a_read_cycle;
1331
  wire             in_a_write_cycle;
1332
  wire    [ 24: 0] shifted_address_to_hibi_pe_dma_1_avalon_slave_0_from_cpu_1_data_master;
1333
  wire             wait_for_hibi_pe_dma_1_avalon_slave_0_counter;
1334
  always @(posedge clk or negedge reset_n)
1335
    begin
1336
      if (reset_n == 0)
1337
          d1_reasons_to_wait <= 0;
1338
      else
1339
        d1_reasons_to_wait <= ~hibi_pe_dma_1_avalon_slave_0_end_xfer;
1340
    end
1341
 
1342
 
1343
  assign hibi_pe_dma_1_avalon_slave_0_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0));
1344
  //assign hibi_pe_dma_1_avalon_slave_0_readdata_from_sa = hibi_pe_dma_1_avalon_slave_0_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
1345
  assign hibi_pe_dma_1_avalon_slave_0_readdata_from_sa = hibi_pe_dma_1_avalon_slave_0_readdata;
1346
 
1347
  assign cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0 = ({cpu_1_data_master_address_to_slave[24 : 9] , 9'b0} == 25'h1001800) & (cpu_1_data_master_read | cpu_1_data_master_write);
1348
  //assign hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa = hibi_pe_dma_1_avalon_slave_0_waitrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
1349
  assign hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa = hibi_pe_dma_1_avalon_slave_0_waitrequest;
1350
 
1351
  //hibi_pe_dma_1_avalon_slave_0_arb_share_counter set values, which is an e_mux
1352
  assign hibi_pe_dma_1_avalon_slave_0_arb_share_set_values = 1;
1353
 
1354
  //hibi_pe_dma_1_avalon_slave_0_non_bursting_master_requests mux, which is an e_mux
1355
  assign hibi_pe_dma_1_avalon_slave_0_non_bursting_master_requests = cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
1356
 
1357
  //hibi_pe_dma_1_avalon_slave_0_any_bursting_master_saved_grant mux, which is an e_mux
1358
  assign hibi_pe_dma_1_avalon_slave_0_any_bursting_master_saved_grant = 0;
1359
 
1360
  //hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value assignment, which is an e_assign
1361
  assign hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value = hibi_pe_dma_1_avalon_slave_0_firsttransfer ? (hibi_pe_dma_1_avalon_slave_0_arb_share_set_values - 1) : |hibi_pe_dma_1_avalon_slave_0_arb_share_counter ? (hibi_pe_dma_1_avalon_slave_0_arb_share_counter - 1) : 0;
1362
 
1363
  //hibi_pe_dma_1_avalon_slave_0_allgrants all slave grants, which is an e_mux
1364
  assign hibi_pe_dma_1_avalon_slave_0_allgrants = |hibi_pe_dma_1_avalon_slave_0_grant_vector;
1365
 
1366
  //hibi_pe_dma_1_avalon_slave_0_end_xfer assignment, which is an e_assign
1367
  assign hibi_pe_dma_1_avalon_slave_0_end_xfer = ~(hibi_pe_dma_1_avalon_slave_0_waits_for_read | hibi_pe_dma_1_avalon_slave_0_waits_for_write);
1368
 
1369
  //end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0 arb share counter enable term, which is an e_assign
1370
  assign end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0 = hibi_pe_dma_1_avalon_slave_0_end_xfer & (~hibi_pe_dma_1_avalon_slave_0_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
1371
 
1372
  //hibi_pe_dma_1_avalon_slave_0_arb_share_counter arbitration counter enable, which is an e_assign
1373
  assign hibi_pe_dma_1_avalon_slave_0_arb_counter_enable = (end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0 & hibi_pe_dma_1_avalon_slave_0_allgrants) | (end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0 & ~hibi_pe_dma_1_avalon_slave_0_non_bursting_master_requests);
1374
 
1375
  //hibi_pe_dma_1_avalon_slave_0_arb_share_counter counter, which is an e_register
1376
  always @(posedge clk or negedge reset_n)
1377
    begin
1378
      if (reset_n == 0)
1379
          hibi_pe_dma_1_avalon_slave_0_arb_share_counter <= 0;
1380
      else if (hibi_pe_dma_1_avalon_slave_0_arb_counter_enable)
1381
          hibi_pe_dma_1_avalon_slave_0_arb_share_counter <= hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value;
1382
    end
1383
 
1384
 
1385
  //hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable slave enables arbiterlock, which is an e_register
1386
  always @(posedge clk or negedge reset_n)
1387
    begin
1388
      if (reset_n == 0)
1389
          hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable <= 0;
1390
      else if ((|hibi_pe_dma_1_avalon_slave_0_master_qreq_vector & end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0) | (end_xfer_arb_share_counter_term_hibi_pe_dma_1_avalon_slave_0 & ~hibi_pe_dma_1_avalon_slave_0_non_bursting_master_requests))
1391
          hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable <= |hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value;
1392
    end
1393
 
1394
 
1395
  //cpu_1/data_master hibi_pe_dma_1/avalon_slave_0 arbiterlock, which is an e_assign
1396
  assign cpu_1_data_master_arbiterlock = hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable & cpu_1_data_master_continuerequest;
1397
 
1398
  //hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
1399
  assign hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable2 = |hibi_pe_dma_1_avalon_slave_0_arb_share_counter_next_value;
1400
 
1401
  //cpu_1/data_master hibi_pe_dma_1/avalon_slave_0 arbiterlock2, which is an e_assign
1402
  assign cpu_1_data_master_arbiterlock2 = hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
1403
 
1404
  //hibi_pe_dma_1_avalon_slave_0_any_continuerequest at least one master continues requesting, which is an e_assign
1405
  assign hibi_pe_dma_1_avalon_slave_0_any_continuerequest = 1;
1406
 
1407
  //cpu_1_data_master_continuerequest continued request, which is an e_assign
1408
  assign cpu_1_data_master_continuerequest = 1;
1409
 
1410
  assign cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 = cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0 & ~((cpu_1_data_master_read & ((cpu_1_data_master_latency_counter != 0) | (|cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register))));
1411
  //local readdatavalid cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0, which is an e_mux
1412
  assign cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0 = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_read & ~hibi_pe_dma_1_avalon_slave_0_waits_for_read;
1413
 
1414
  //hibi_pe_dma_1_avalon_slave_0_writedata mux, which is an e_mux
1415
  assign hibi_pe_dma_1_avalon_slave_0_writedata = cpu_1_data_master_writedata;
1416
 
1417
  //master is always granted when requested
1418
  assign cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 = cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0;
1419
 
1420
  //cpu_1/data_master saved-grant hibi_pe_dma_1/avalon_slave_0, which is an e_assign
1421
  assign cpu_1_data_master_saved_grant_hibi_pe_dma_1_avalon_slave_0 = cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
1422
 
1423
  //allow new arb cycle for hibi_pe_dma_1/avalon_slave_0, which is an e_assign
1424
  assign hibi_pe_dma_1_avalon_slave_0_allow_new_arb_cycle = 1;
1425
 
1426
  //placeholder chosen master
1427
  assign hibi_pe_dma_1_avalon_slave_0_grant_vector = 1;
1428
 
1429
  //placeholder vector of master qualified-requests
1430
  assign hibi_pe_dma_1_avalon_slave_0_master_qreq_vector = 1;
1431
 
1432
  //hibi_pe_dma_1_avalon_slave_0_reset_n assignment, which is an e_assign
1433
  assign hibi_pe_dma_1_avalon_slave_0_reset_n = reset_n;
1434
 
1435
  assign hibi_pe_dma_1_avalon_slave_0_chipselect = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0;
1436
  //hibi_pe_dma_1_avalon_slave_0_firsttransfer first transaction, which is an e_assign
1437
  assign hibi_pe_dma_1_avalon_slave_0_firsttransfer = hibi_pe_dma_1_avalon_slave_0_begins_xfer ? hibi_pe_dma_1_avalon_slave_0_unreg_firsttransfer : hibi_pe_dma_1_avalon_slave_0_reg_firsttransfer;
1438
 
1439
  //hibi_pe_dma_1_avalon_slave_0_unreg_firsttransfer first transaction, which is an e_assign
1440
  assign hibi_pe_dma_1_avalon_slave_0_unreg_firsttransfer = ~(hibi_pe_dma_1_avalon_slave_0_slavearbiterlockenable & hibi_pe_dma_1_avalon_slave_0_any_continuerequest);
1441
 
1442
  //hibi_pe_dma_1_avalon_slave_0_reg_firsttransfer first transaction, which is an e_register
1443
  always @(posedge clk or negedge reset_n)
1444
    begin
1445
      if (reset_n == 0)
1446
          hibi_pe_dma_1_avalon_slave_0_reg_firsttransfer <= 1'b1;
1447
      else if (hibi_pe_dma_1_avalon_slave_0_begins_xfer)
1448
          hibi_pe_dma_1_avalon_slave_0_reg_firsttransfer <= hibi_pe_dma_1_avalon_slave_0_unreg_firsttransfer;
1449
    end
1450
 
1451
 
1452
  //hibi_pe_dma_1_avalon_slave_0_beginbursttransfer_internal begin burst transfer, which is an e_assign
1453
  assign hibi_pe_dma_1_avalon_slave_0_beginbursttransfer_internal = hibi_pe_dma_1_avalon_slave_0_begins_xfer;
1454
 
1455
  //hibi_pe_dma_1_avalon_slave_0_read assignment, which is an e_mux
1456
  assign hibi_pe_dma_1_avalon_slave_0_read = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_read;
1457
 
1458
  //hibi_pe_dma_1_avalon_slave_0_write assignment, which is an e_mux
1459
  assign hibi_pe_dma_1_avalon_slave_0_write = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_write;
1460
 
1461
  assign shifted_address_to_hibi_pe_dma_1_avalon_slave_0_from_cpu_1_data_master = cpu_1_data_master_address_to_slave;
1462
  //hibi_pe_dma_1_avalon_slave_0_address mux, which is an e_mux
1463
  assign hibi_pe_dma_1_avalon_slave_0_address = shifted_address_to_hibi_pe_dma_1_avalon_slave_0_from_cpu_1_data_master >> 2;
1464
 
1465
  //d1_hibi_pe_dma_1_avalon_slave_0_end_xfer register, which is an e_register
1466
  always @(posedge clk or negedge reset_n)
1467
    begin
1468
      if (reset_n == 0)
1469
          d1_hibi_pe_dma_1_avalon_slave_0_end_xfer <= 1;
1470
      else
1471
        d1_hibi_pe_dma_1_avalon_slave_0_end_xfer <= hibi_pe_dma_1_avalon_slave_0_end_xfer;
1472
    end
1473
 
1474
 
1475
  //hibi_pe_dma_1_avalon_slave_0_waits_for_read in a cycle, which is an e_mux
1476
  assign hibi_pe_dma_1_avalon_slave_0_waits_for_read = hibi_pe_dma_1_avalon_slave_0_in_a_read_cycle & hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
1477
 
1478
  //hibi_pe_dma_1_avalon_slave_0_in_a_read_cycle assignment, which is an e_assign
1479
  assign hibi_pe_dma_1_avalon_slave_0_in_a_read_cycle = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_read;
1480
 
1481
  //in_a_read_cycle assignment, which is an e_mux
1482
  assign in_a_read_cycle = hibi_pe_dma_1_avalon_slave_0_in_a_read_cycle;
1483
 
1484
  //hibi_pe_dma_1_avalon_slave_0_waits_for_write in a cycle, which is an e_mux
1485
  assign hibi_pe_dma_1_avalon_slave_0_waits_for_write = hibi_pe_dma_1_avalon_slave_0_in_a_write_cycle & hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
1486
 
1487
  //hibi_pe_dma_1_avalon_slave_0_in_a_write_cycle assignment, which is an e_assign
1488
  assign hibi_pe_dma_1_avalon_slave_0_in_a_write_cycle = cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0 & cpu_1_data_master_write;
1489
 
1490
  //in_a_write_cycle assignment, which is an e_mux
1491
  assign in_a_write_cycle = hibi_pe_dma_1_avalon_slave_0_in_a_write_cycle;
1492
 
1493
  assign wait_for_hibi_pe_dma_1_avalon_slave_0_counter = 0;
1494
  //assign hibi_pe_dma_1_avalon_slave_0_irq_from_sa = hibi_pe_dma_1_avalon_slave_0_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
1495
  assign hibi_pe_dma_1_avalon_slave_0_irq_from_sa = hibi_pe_dma_1_avalon_slave_0_irq;
1496
 
1497
 
1498
//synthesis translate_off
1499
//////////////// SIMULATION-ONLY CONTENTS
1500
  //hibi_pe_dma_1/avalon_slave_0 enable non-zero assertions, which is an e_register
1501
  always @(posedge clk or negedge reset_n)
1502
    begin
1503
      if (reset_n == 0)
1504
          enable_nonzero_assertions <= 0;
1505
      else
1506
        enable_nonzero_assertions <= 1'b1;
1507
    end
1508
 
1509
 
1510
 
1511
//////////////// END SIMULATION-ONLY CONTENTS
1512
 
1513
//synthesis translate_on
1514
 
1515
endmodule
1516
 
1517
 
1518
// synthesis translate_off
1519
`timescale 1ns / 1ps
1520
// synthesis translate_on
1521
 
1522
// turn off superfluous verilog processor warnings 
1523
// altera message_level Level1 
1524
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1525
 
1526
module hibi_pe_dma_1_avalon_master_arbitrator (
1527
                                                // inputs:
1528
                                                 clk,
1529
                                                 d1_onchip_memory_1_s1_end_xfer,
1530
                                                 hibi_pe_dma_1_avalon_master_address,
1531
                                                 hibi_pe_dma_1_avalon_master_byteenable,
1532
                                                 hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1,
1533
                                                 hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1,
1534
                                                 hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1,
1535
                                                 hibi_pe_dma_1_avalon_master_write,
1536
                                                 hibi_pe_dma_1_avalon_master_writedata,
1537
                                                 reset_n,
1538
 
1539
                                                // outputs:
1540
                                                 hibi_pe_dma_1_avalon_master_address_to_slave,
1541
                                                 hibi_pe_dma_1_avalon_master_waitrequest
1542
                                              )
1543
;
1544
 
1545
  output  [ 31: 0] hibi_pe_dma_1_avalon_master_address_to_slave;
1546
  output           hibi_pe_dma_1_avalon_master_waitrequest;
1547
  input            clk;
1548
  input            d1_onchip_memory_1_s1_end_xfer;
1549
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_address;
1550
  input   [  3: 0] hibi_pe_dma_1_avalon_master_byteenable;
1551
  input            hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1;
1552
  input            hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1;
1553
  input            hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
1554
  input            hibi_pe_dma_1_avalon_master_write;
1555
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_writedata;
1556
  input            reset_n;
1557
 
1558
  reg              active_and_waiting_last_time;
1559
  reg     [ 31: 0] hibi_pe_dma_1_avalon_master_address_last_time;
1560
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_address_to_slave;
1561
  reg     [  3: 0] hibi_pe_dma_1_avalon_master_byteenable_last_time;
1562
  wire             hibi_pe_dma_1_avalon_master_run;
1563
  wire             hibi_pe_dma_1_avalon_master_waitrequest;
1564
  reg              hibi_pe_dma_1_avalon_master_write_last_time;
1565
  reg     [ 31: 0] hibi_pe_dma_1_avalon_master_writedata_last_time;
1566
  wire             r_0;
1567
  //r_0 master_run cascaded wait assignment, which is an e_assign
1568
  assign r_0 = 1 & (hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1 | ~hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1) & (hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 | ~hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1) & ((~hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1 | ~(hibi_pe_dma_1_avalon_master_write) | (1 & (hibi_pe_dma_1_avalon_master_write))));
1569
 
1570
  //cascaded wait assignment, which is an e_assign
1571
  assign hibi_pe_dma_1_avalon_master_run = r_0;
1572
 
1573
  //optimize select-logic by passing only those address bits which matter.
1574
  assign hibi_pe_dma_1_avalon_master_address_to_slave = {21'b0,
1575
    hibi_pe_dma_1_avalon_master_address[10 : 0]};
1576
 
1577
  //actual waitrequest port, which is an e_assign
1578
  assign hibi_pe_dma_1_avalon_master_waitrequest = ~hibi_pe_dma_1_avalon_master_run;
1579
 
1580
 
1581
//synthesis translate_off
1582
//////////////// SIMULATION-ONLY CONTENTS
1583
  //hibi_pe_dma_1_avalon_master_address check against wait, which is an e_register
1584
  always @(posedge clk or negedge reset_n)
1585
    begin
1586
      if (reset_n == 0)
1587
          hibi_pe_dma_1_avalon_master_address_last_time <= 0;
1588
      else
1589
        hibi_pe_dma_1_avalon_master_address_last_time <= hibi_pe_dma_1_avalon_master_address;
1590
    end
1591
 
1592
 
1593
  //hibi_pe_dma_1/avalon_master waited last time, which is an e_register
1594
  always @(posedge clk or negedge reset_n)
1595
    begin
1596
      if (reset_n == 0)
1597
          active_and_waiting_last_time <= 0;
1598
      else
1599
        active_and_waiting_last_time <= hibi_pe_dma_1_avalon_master_waitrequest & (hibi_pe_dma_1_avalon_master_write);
1600
    end
1601
 
1602
 
1603
  //hibi_pe_dma_1_avalon_master_address matches last port_name, which is an e_process
1604
  always @(posedge clk)
1605
    begin
1606
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_address != hibi_pe_dma_1_avalon_master_address_last_time))
1607
        begin
1608
          $write("%0d ns: hibi_pe_dma_1_avalon_master_address did not heed wait!!!", $time);
1609
          $stop;
1610
        end
1611
    end
1612
 
1613
 
1614
  //hibi_pe_dma_1_avalon_master_byteenable check against wait, which is an e_register
1615
  always @(posedge clk or negedge reset_n)
1616
    begin
1617
      if (reset_n == 0)
1618
          hibi_pe_dma_1_avalon_master_byteenable_last_time <= 0;
1619
      else
1620
        hibi_pe_dma_1_avalon_master_byteenable_last_time <= hibi_pe_dma_1_avalon_master_byteenable;
1621
    end
1622
 
1623
 
1624
  //hibi_pe_dma_1_avalon_master_byteenable matches last port_name, which is an e_process
1625
  always @(posedge clk)
1626
    begin
1627
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_byteenable != hibi_pe_dma_1_avalon_master_byteenable_last_time))
1628
        begin
1629
          $write("%0d ns: hibi_pe_dma_1_avalon_master_byteenable did not heed wait!!!", $time);
1630
          $stop;
1631
        end
1632
    end
1633
 
1634
 
1635
  //hibi_pe_dma_1_avalon_master_write check against wait, which is an e_register
1636
  always @(posedge clk or negedge reset_n)
1637
    begin
1638
      if (reset_n == 0)
1639
          hibi_pe_dma_1_avalon_master_write_last_time <= 0;
1640
      else
1641
        hibi_pe_dma_1_avalon_master_write_last_time <= hibi_pe_dma_1_avalon_master_write;
1642
    end
1643
 
1644
 
1645
  //hibi_pe_dma_1_avalon_master_write matches last port_name, which is an e_process
1646
  always @(posedge clk)
1647
    begin
1648
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_write != hibi_pe_dma_1_avalon_master_write_last_time))
1649
        begin
1650
          $write("%0d ns: hibi_pe_dma_1_avalon_master_write did not heed wait!!!", $time);
1651
          $stop;
1652
        end
1653
    end
1654
 
1655
 
1656
  //hibi_pe_dma_1_avalon_master_writedata check against wait, which is an e_register
1657
  always @(posedge clk or negedge reset_n)
1658
    begin
1659
      if (reset_n == 0)
1660
          hibi_pe_dma_1_avalon_master_writedata_last_time <= 0;
1661
      else
1662
        hibi_pe_dma_1_avalon_master_writedata_last_time <= hibi_pe_dma_1_avalon_master_writedata;
1663
    end
1664
 
1665
 
1666
  //hibi_pe_dma_1_avalon_master_writedata matches last port_name, which is an e_process
1667
  always @(posedge clk)
1668
    begin
1669
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_writedata != hibi_pe_dma_1_avalon_master_writedata_last_time) & hibi_pe_dma_1_avalon_master_write)
1670
        begin
1671
          $write("%0d ns: hibi_pe_dma_1_avalon_master_writedata did not heed wait!!!", $time);
1672
          $stop;
1673
        end
1674
    end
1675
 
1676
 
1677
 
1678
//////////////// END SIMULATION-ONLY CONTENTS
1679
 
1680
//synthesis translate_on
1681
 
1682
endmodule
1683
 
1684
 
1685
// synthesis translate_off
1686
`timescale 1ns / 1ps
1687
// synthesis translate_on
1688
 
1689
// turn off superfluous verilog processor warnings 
1690
// altera message_level Level1 
1691
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1692
 
1693
module hibi_pe_dma_1_avalon_master_1_arbitrator (
1694
                                                  // inputs:
1695
                                                   clk,
1696
                                                   d1_onchip_memory_1_s1_end_xfer,
1697
                                                   hibi_pe_dma_1_avalon_master_1_address,
1698
                                                   hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1,
1699
                                                   hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1,
1700
                                                   hibi_pe_dma_1_avalon_master_1_read,
1701
                                                   hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1,
1702
                                                   hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1,
1703
                                                   onchip_memory_1_s1_readdata_from_sa,
1704
                                                   reset_n,
1705
 
1706
                                                  // outputs:
1707
                                                   hibi_pe_dma_1_avalon_master_1_address_to_slave,
1708
                                                   hibi_pe_dma_1_avalon_master_1_latency_counter,
1709
                                                   hibi_pe_dma_1_avalon_master_1_readdata,
1710
                                                   hibi_pe_dma_1_avalon_master_1_readdatavalid,
1711
                                                   hibi_pe_dma_1_avalon_master_1_waitrequest
1712
                                                )
1713
;
1714
 
1715
  output  [ 31: 0] hibi_pe_dma_1_avalon_master_1_address_to_slave;
1716
  output           hibi_pe_dma_1_avalon_master_1_latency_counter;
1717
  output  [ 31: 0] hibi_pe_dma_1_avalon_master_1_readdata;
1718
  output           hibi_pe_dma_1_avalon_master_1_readdatavalid;
1719
  output           hibi_pe_dma_1_avalon_master_1_waitrequest;
1720
  input            clk;
1721
  input            d1_onchip_memory_1_s1_end_xfer;
1722
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_1_address;
1723
  input            hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
1724
  input            hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1;
1725
  input            hibi_pe_dma_1_avalon_master_1_read;
1726
  input            hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1;
1727
  input            hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
1728
  input   [ 31: 0] onchip_memory_1_s1_readdata_from_sa;
1729
  input            reset_n;
1730
 
1731
  reg              active_and_waiting_last_time;
1732
  reg     [ 31: 0] hibi_pe_dma_1_avalon_master_1_address_last_time;
1733
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_1_address_to_slave;
1734
  wire             hibi_pe_dma_1_avalon_master_1_is_granted_some_slave;
1735
  reg              hibi_pe_dma_1_avalon_master_1_latency_counter;
1736
  reg              hibi_pe_dma_1_avalon_master_1_read_but_no_slave_selected;
1737
  reg              hibi_pe_dma_1_avalon_master_1_read_last_time;
1738
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_1_readdata;
1739
  wire             hibi_pe_dma_1_avalon_master_1_readdatavalid;
1740
  wire             hibi_pe_dma_1_avalon_master_1_run;
1741
  wire             hibi_pe_dma_1_avalon_master_1_waitrequest;
1742
  wire             latency_load_value;
1743
  wire             p1_hibi_pe_dma_1_avalon_master_1_latency_counter;
1744
  wire             pre_flush_hibi_pe_dma_1_avalon_master_1_readdatavalid;
1745
  wire             r_0;
1746
  //r_0 master_run cascaded wait assignment, which is an e_assign
1747
  assign r_0 = 1 & (hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1 | ~hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1) & (hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1 | ~hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1) & ((~hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1 | ~(hibi_pe_dma_1_avalon_master_1_read) | (1 & (hibi_pe_dma_1_avalon_master_1_read))));
1748
 
1749
  //cascaded wait assignment, which is an e_assign
1750
  assign hibi_pe_dma_1_avalon_master_1_run = r_0;
1751
 
1752
  //optimize select-logic by passing only those address bits which matter.
1753
  assign hibi_pe_dma_1_avalon_master_1_address_to_slave = {21'b0,
1754
    hibi_pe_dma_1_avalon_master_1_address[10 : 0]};
1755
 
1756
  //hibi_pe_dma_1_avalon_master_1_read_but_no_slave_selected assignment, which is an e_register
1757
  always @(posedge clk or negedge reset_n)
1758
    begin
1759
      if (reset_n == 0)
1760
          hibi_pe_dma_1_avalon_master_1_read_but_no_slave_selected <= 0;
1761
      else
1762
        hibi_pe_dma_1_avalon_master_1_read_but_no_slave_selected <= hibi_pe_dma_1_avalon_master_1_read & hibi_pe_dma_1_avalon_master_1_run & ~hibi_pe_dma_1_avalon_master_1_is_granted_some_slave;
1763
    end
1764
 
1765
 
1766
  //some slave is getting selected, which is an e_mux
1767
  assign hibi_pe_dma_1_avalon_master_1_is_granted_some_slave = hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
1768
 
1769
  //latent slave read data valids which may be flushed, which is an e_mux
1770
  assign pre_flush_hibi_pe_dma_1_avalon_master_1_readdatavalid = hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1;
1771
 
1772
  //latent slave read data valid which is not flushed, which is an e_mux
1773
  assign hibi_pe_dma_1_avalon_master_1_readdatavalid = hibi_pe_dma_1_avalon_master_1_read_but_no_slave_selected |
1774
    pre_flush_hibi_pe_dma_1_avalon_master_1_readdatavalid;
1775
 
1776
  //hibi_pe_dma_1/avalon_master_1 readdata mux, which is an e_mux
1777
  assign hibi_pe_dma_1_avalon_master_1_readdata = onchip_memory_1_s1_readdata_from_sa;
1778
 
1779
  //actual waitrequest port, which is an e_assign
1780
  assign hibi_pe_dma_1_avalon_master_1_waitrequest = ~hibi_pe_dma_1_avalon_master_1_run;
1781
 
1782
  //latent max counter, which is an e_register
1783
  always @(posedge clk or negedge reset_n)
1784
    begin
1785
      if (reset_n == 0)
1786
          hibi_pe_dma_1_avalon_master_1_latency_counter <= 0;
1787
      else
1788
        hibi_pe_dma_1_avalon_master_1_latency_counter <= p1_hibi_pe_dma_1_avalon_master_1_latency_counter;
1789
    end
1790
 
1791
 
1792
  //latency counter load mux, which is an e_mux
1793
  assign p1_hibi_pe_dma_1_avalon_master_1_latency_counter = ((hibi_pe_dma_1_avalon_master_1_run & hibi_pe_dma_1_avalon_master_1_read))? latency_load_value :
1794
    (hibi_pe_dma_1_avalon_master_1_latency_counter)? hibi_pe_dma_1_avalon_master_1_latency_counter - 1 :
1795
    0;
1796
 
1797
  //read latency load values, which is an e_mux
1798
  assign latency_load_value = {1 {hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1}} & 1;
1799
 
1800
 
1801
//synthesis translate_off
1802
//////////////// SIMULATION-ONLY CONTENTS
1803
  //hibi_pe_dma_1_avalon_master_1_address check against wait, which is an e_register
1804
  always @(posedge clk or negedge reset_n)
1805
    begin
1806
      if (reset_n == 0)
1807
          hibi_pe_dma_1_avalon_master_1_address_last_time <= 0;
1808
      else
1809
        hibi_pe_dma_1_avalon_master_1_address_last_time <= hibi_pe_dma_1_avalon_master_1_address;
1810
    end
1811
 
1812
 
1813
  //hibi_pe_dma_1/avalon_master_1 waited last time, which is an e_register
1814
  always @(posedge clk or negedge reset_n)
1815
    begin
1816
      if (reset_n == 0)
1817
          active_and_waiting_last_time <= 0;
1818
      else
1819
        active_and_waiting_last_time <= hibi_pe_dma_1_avalon_master_1_waitrequest & (hibi_pe_dma_1_avalon_master_1_read);
1820
    end
1821
 
1822
 
1823
  //hibi_pe_dma_1_avalon_master_1_address matches last port_name, which is an e_process
1824
  always @(posedge clk)
1825
    begin
1826
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_1_address != hibi_pe_dma_1_avalon_master_1_address_last_time))
1827
        begin
1828
          $write("%0d ns: hibi_pe_dma_1_avalon_master_1_address did not heed wait!!!", $time);
1829
          $stop;
1830
        end
1831
    end
1832
 
1833
 
1834
  //hibi_pe_dma_1_avalon_master_1_read check against wait, which is an e_register
1835
  always @(posedge clk or negedge reset_n)
1836
    begin
1837
      if (reset_n == 0)
1838
          hibi_pe_dma_1_avalon_master_1_read_last_time <= 0;
1839
      else
1840
        hibi_pe_dma_1_avalon_master_1_read_last_time <= hibi_pe_dma_1_avalon_master_1_read;
1841
    end
1842
 
1843
 
1844
  //hibi_pe_dma_1_avalon_master_1_read matches last port_name, which is an e_process
1845
  always @(posedge clk)
1846
    begin
1847
      if (active_and_waiting_last_time & (hibi_pe_dma_1_avalon_master_1_read != hibi_pe_dma_1_avalon_master_1_read_last_time))
1848
        begin
1849
          $write("%0d ns: hibi_pe_dma_1_avalon_master_1_read did not heed wait!!!", $time);
1850
          $stop;
1851
        end
1852
    end
1853
 
1854
 
1855
 
1856
//////////////// END SIMULATION-ONLY CONTENTS
1857
 
1858
//synthesis translate_on
1859
 
1860
endmodule
1861
 
1862
 
1863
// synthesis translate_off
1864
`timescale 1ns / 1ps
1865
// synthesis translate_on
1866
 
1867
// turn off superfluous verilog processor warnings 
1868
// altera message_level Level1 
1869
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1870
 
1871
module jtag_uart_1_avalon_jtag_slave_arbitrator (
1872
                                                  // inputs:
1873
                                                   clk,
1874
                                                   cpu_1_data_master_address_to_slave,
1875
                                                   cpu_1_data_master_latency_counter,
1876
                                                   cpu_1_data_master_read,
1877
                                                   cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
1878
                                                   cpu_1_data_master_write,
1879
                                                   cpu_1_data_master_writedata,
1880
                                                   jtag_uart_1_avalon_jtag_slave_dataavailable,
1881
                                                   jtag_uart_1_avalon_jtag_slave_irq,
1882
                                                   jtag_uart_1_avalon_jtag_slave_readdata,
1883
                                                   jtag_uart_1_avalon_jtag_slave_readyfordata,
1884
                                                   jtag_uart_1_avalon_jtag_slave_waitrequest,
1885
                                                   reset_n,
1886
 
1887
                                                  // outputs:
1888
                                                   cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave,
1889
                                                   cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave,
1890
                                                   cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave,
1891
                                                   cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave,
1892
                                                   d1_jtag_uart_1_avalon_jtag_slave_end_xfer,
1893
                                                   jtag_uart_1_avalon_jtag_slave_address,
1894
                                                   jtag_uart_1_avalon_jtag_slave_chipselect,
1895
                                                   jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa,
1896
                                                   jtag_uart_1_avalon_jtag_slave_irq_from_sa,
1897
                                                   jtag_uart_1_avalon_jtag_slave_read_n,
1898
                                                   jtag_uart_1_avalon_jtag_slave_readdata_from_sa,
1899
                                                   jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa,
1900
                                                   jtag_uart_1_avalon_jtag_slave_reset_n,
1901
                                                   jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa,
1902
                                                   jtag_uart_1_avalon_jtag_slave_write_n,
1903
                                                   jtag_uart_1_avalon_jtag_slave_writedata
1904
                                                )
1905
;
1906
 
1907
  output           cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave;
1908
  output           cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave;
1909
  output           cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave;
1910
  output           cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
1911
  output           d1_jtag_uart_1_avalon_jtag_slave_end_xfer;
1912
  output           jtag_uart_1_avalon_jtag_slave_address;
1913
  output           jtag_uart_1_avalon_jtag_slave_chipselect;
1914
  output           jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa;
1915
  output           jtag_uart_1_avalon_jtag_slave_irq_from_sa;
1916
  output           jtag_uart_1_avalon_jtag_slave_read_n;
1917
  output  [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata_from_sa;
1918
  output           jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa;
1919
  output           jtag_uart_1_avalon_jtag_slave_reset_n;
1920
  output           jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
1921
  output           jtag_uart_1_avalon_jtag_slave_write_n;
1922
  output  [ 31: 0] jtag_uart_1_avalon_jtag_slave_writedata;
1923
  input            clk;
1924
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
1925
  input            cpu_1_data_master_latency_counter;
1926
  input            cpu_1_data_master_read;
1927
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
1928
  input            cpu_1_data_master_write;
1929
  input   [ 31: 0] cpu_1_data_master_writedata;
1930
  input            jtag_uart_1_avalon_jtag_slave_dataavailable;
1931
  input            jtag_uart_1_avalon_jtag_slave_irq;
1932
  input   [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata;
1933
  input            jtag_uart_1_avalon_jtag_slave_readyfordata;
1934
  input            jtag_uart_1_avalon_jtag_slave_waitrequest;
1935
  input            reset_n;
1936
 
1937
  wire             cpu_1_data_master_arbiterlock;
1938
  wire             cpu_1_data_master_arbiterlock2;
1939
  wire             cpu_1_data_master_continuerequest;
1940
  wire             cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave;
1941
  wire             cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave;
1942
  wire             cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave;
1943
  wire             cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
1944
  wire             cpu_1_data_master_saved_grant_jtag_uart_1_avalon_jtag_slave;
1945
  reg              d1_jtag_uart_1_avalon_jtag_slave_end_xfer;
1946
  reg              d1_reasons_to_wait;
1947
  reg              enable_nonzero_assertions;
1948
  wire             end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave;
1949
  wire             in_a_read_cycle;
1950
  wire             in_a_write_cycle;
1951
  wire             jtag_uart_1_avalon_jtag_slave_address;
1952
  wire             jtag_uart_1_avalon_jtag_slave_allgrants;
1953
  wire             jtag_uart_1_avalon_jtag_slave_allow_new_arb_cycle;
1954
  wire             jtag_uart_1_avalon_jtag_slave_any_bursting_master_saved_grant;
1955
  wire             jtag_uart_1_avalon_jtag_slave_any_continuerequest;
1956
  wire             jtag_uart_1_avalon_jtag_slave_arb_counter_enable;
1957
  reg     [  1: 0] jtag_uart_1_avalon_jtag_slave_arb_share_counter;
1958
  wire    [  1: 0] jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value;
1959
  wire    [  1: 0] jtag_uart_1_avalon_jtag_slave_arb_share_set_values;
1960
  wire             jtag_uart_1_avalon_jtag_slave_beginbursttransfer_internal;
1961
  wire             jtag_uart_1_avalon_jtag_slave_begins_xfer;
1962
  wire             jtag_uart_1_avalon_jtag_slave_chipselect;
1963
  wire             jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa;
1964
  wire             jtag_uart_1_avalon_jtag_slave_end_xfer;
1965
  wire             jtag_uart_1_avalon_jtag_slave_firsttransfer;
1966
  wire             jtag_uart_1_avalon_jtag_slave_grant_vector;
1967
  wire             jtag_uart_1_avalon_jtag_slave_in_a_read_cycle;
1968
  wire             jtag_uart_1_avalon_jtag_slave_in_a_write_cycle;
1969
  wire             jtag_uart_1_avalon_jtag_slave_irq_from_sa;
1970
  wire             jtag_uart_1_avalon_jtag_slave_master_qreq_vector;
1971
  wire             jtag_uart_1_avalon_jtag_slave_non_bursting_master_requests;
1972
  wire             jtag_uart_1_avalon_jtag_slave_read_n;
1973
  wire    [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata_from_sa;
1974
  wire             jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa;
1975
  reg              jtag_uart_1_avalon_jtag_slave_reg_firsttransfer;
1976
  wire             jtag_uart_1_avalon_jtag_slave_reset_n;
1977
  reg              jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable;
1978
  wire             jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable2;
1979
  wire             jtag_uart_1_avalon_jtag_slave_unreg_firsttransfer;
1980
  wire             jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
1981
  wire             jtag_uart_1_avalon_jtag_slave_waits_for_read;
1982
  wire             jtag_uart_1_avalon_jtag_slave_waits_for_write;
1983
  wire             jtag_uart_1_avalon_jtag_slave_write_n;
1984
  wire    [ 31: 0] jtag_uart_1_avalon_jtag_slave_writedata;
1985
  wire    [ 24: 0] shifted_address_to_jtag_uart_1_avalon_jtag_slave_from_cpu_1_data_master;
1986
  wire             wait_for_jtag_uart_1_avalon_jtag_slave_counter;
1987
  always @(posedge clk or negedge reset_n)
1988
    begin
1989
      if (reset_n == 0)
1990
          d1_reasons_to_wait <= 0;
1991
      else
1992
        d1_reasons_to_wait <= ~jtag_uart_1_avalon_jtag_slave_end_xfer;
1993
    end
1994
 
1995
 
1996
  assign jtag_uart_1_avalon_jtag_slave_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave));
1997
  //assign jtag_uart_1_avalon_jtag_slave_readdata_from_sa = jtag_uart_1_avalon_jtag_slave_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
1998
  assign jtag_uart_1_avalon_jtag_slave_readdata_from_sa = jtag_uart_1_avalon_jtag_slave_readdata;
1999
 
2000
  assign cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave = ({cpu_1_data_master_address_to_slave[24 : 3] , 3'b0} == 25'h1001a20) & (cpu_1_data_master_read | cpu_1_data_master_write);
2001
  //assign jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa = jtag_uart_1_avalon_jtag_slave_dataavailable so that symbol knows where to group signals which may go to master only, which is an e_assign
2002
  assign jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa = jtag_uart_1_avalon_jtag_slave_dataavailable;
2003
 
2004
  //assign jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa = jtag_uart_1_avalon_jtag_slave_readyfordata so that symbol knows where to group signals which may go to master only, which is an e_assign
2005
  assign jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa = jtag_uart_1_avalon_jtag_slave_readyfordata;
2006
 
2007
  //assign jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa = jtag_uart_1_avalon_jtag_slave_waitrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
2008
  assign jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa = jtag_uart_1_avalon_jtag_slave_waitrequest;
2009
 
2010
  //jtag_uart_1_avalon_jtag_slave_arb_share_counter set values, which is an e_mux
2011
  assign jtag_uart_1_avalon_jtag_slave_arb_share_set_values = 1;
2012
 
2013
  //jtag_uart_1_avalon_jtag_slave_non_bursting_master_requests mux, which is an e_mux
2014
  assign jtag_uart_1_avalon_jtag_slave_non_bursting_master_requests = cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
2015
 
2016
  //jtag_uart_1_avalon_jtag_slave_any_bursting_master_saved_grant mux, which is an e_mux
2017
  assign jtag_uart_1_avalon_jtag_slave_any_bursting_master_saved_grant = 0;
2018
 
2019
  //jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value assignment, which is an e_assign
2020
  assign jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value = jtag_uart_1_avalon_jtag_slave_firsttransfer ? (jtag_uart_1_avalon_jtag_slave_arb_share_set_values - 1) : |jtag_uart_1_avalon_jtag_slave_arb_share_counter ? (jtag_uart_1_avalon_jtag_slave_arb_share_counter - 1) : 0;
2021
 
2022
  //jtag_uart_1_avalon_jtag_slave_allgrants all slave grants, which is an e_mux
2023
  assign jtag_uart_1_avalon_jtag_slave_allgrants = |jtag_uart_1_avalon_jtag_slave_grant_vector;
2024
 
2025
  //jtag_uart_1_avalon_jtag_slave_end_xfer assignment, which is an e_assign
2026
  assign jtag_uart_1_avalon_jtag_slave_end_xfer = ~(jtag_uart_1_avalon_jtag_slave_waits_for_read | jtag_uart_1_avalon_jtag_slave_waits_for_write);
2027
 
2028
  //end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave arb share counter enable term, which is an e_assign
2029
  assign end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave = jtag_uart_1_avalon_jtag_slave_end_xfer & (~jtag_uart_1_avalon_jtag_slave_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2030
 
2031
  //jtag_uart_1_avalon_jtag_slave_arb_share_counter arbitration counter enable, which is an e_assign
2032
  assign jtag_uart_1_avalon_jtag_slave_arb_counter_enable = (end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave & jtag_uart_1_avalon_jtag_slave_allgrants) | (end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave & ~jtag_uart_1_avalon_jtag_slave_non_bursting_master_requests);
2033
 
2034
  //jtag_uart_1_avalon_jtag_slave_arb_share_counter counter, which is an e_register
2035
  always @(posedge clk or negedge reset_n)
2036
    begin
2037
      if (reset_n == 0)
2038
          jtag_uart_1_avalon_jtag_slave_arb_share_counter <= 0;
2039
      else if (jtag_uart_1_avalon_jtag_slave_arb_counter_enable)
2040
          jtag_uart_1_avalon_jtag_slave_arb_share_counter <= jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value;
2041
    end
2042
 
2043
 
2044
  //jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2045
  always @(posedge clk or negedge reset_n)
2046
    begin
2047
      if (reset_n == 0)
2048
          jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable <= 0;
2049
      else if ((|jtag_uart_1_avalon_jtag_slave_master_qreq_vector & end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave) | (end_xfer_arb_share_counter_term_jtag_uart_1_avalon_jtag_slave & ~jtag_uart_1_avalon_jtag_slave_non_bursting_master_requests))
2050
          jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable <= |jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value;
2051
    end
2052
 
2053
 
2054
  //cpu_1/data_master jtag_uart_1/avalon_jtag_slave arbiterlock, which is an e_assign
2055
  assign cpu_1_data_master_arbiterlock = jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable & cpu_1_data_master_continuerequest;
2056
 
2057
  //jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2058
  assign jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable2 = |jtag_uart_1_avalon_jtag_slave_arb_share_counter_next_value;
2059
 
2060
  //cpu_1/data_master jtag_uart_1/avalon_jtag_slave arbiterlock2, which is an e_assign
2061
  assign cpu_1_data_master_arbiterlock2 = jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
2062
 
2063
  //jtag_uart_1_avalon_jtag_slave_any_continuerequest at least one master continues requesting, which is an e_assign
2064
  assign jtag_uart_1_avalon_jtag_slave_any_continuerequest = 1;
2065
 
2066
  //cpu_1_data_master_continuerequest continued request, which is an e_assign
2067
  assign cpu_1_data_master_continuerequest = 1;
2068
 
2069
  assign cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave = cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave & ~((cpu_1_data_master_read & ((cpu_1_data_master_latency_counter != 0) | (|cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register))));
2070
  //local readdatavalid cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave, which is an e_mux
2071
  assign cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave = cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_read & ~jtag_uart_1_avalon_jtag_slave_waits_for_read;
2072
 
2073
  //jtag_uart_1_avalon_jtag_slave_writedata mux, which is an e_mux
2074
  assign jtag_uart_1_avalon_jtag_slave_writedata = cpu_1_data_master_writedata;
2075
 
2076
  //master is always granted when requested
2077
  assign cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave = cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave;
2078
 
2079
  //cpu_1/data_master saved-grant jtag_uart_1/avalon_jtag_slave, which is an e_assign
2080
  assign cpu_1_data_master_saved_grant_jtag_uart_1_avalon_jtag_slave = cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
2081
 
2082
  //allow new arb cycle for jtag_uart_1/avalon_jtag_slave, which is an e_assign
2083
  assign jtag_uart_1_avalon_jtag_slave_allow_new_arb_cycle = 1;
2084
 
2085
  //placeholder chosen master
2086
  assign jtag_uart_1_avalon_jtag_slave_grant_vector = 1;
2087
 
2088
  //placeholder vector of master qualified-requests
2089
  assign jtag_uart_1_avalon_jtag_slave_master_qreq_vector = 1;
2090
 
2091
  //jtag_uart_1_avalon_jtag_slave_reset_n assignment, which is an e_assign
2092
  assign jtag_uart_1_avalon_jtag_slave_reset_n = reset_n;
2093
 
2094
  assign jtag_uart_1_avalon_jtag_slave_chipselect = cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave;
2095
  //jtag_uart_1_avalon_jtag_slave_firsttransfer first transaction, which is an e_assign
2096
  assign jtag_uart_1_avalon_jtag_slave_firsttransfer = jtag_uart_1_avalon_jtag_slave_begins_xfer ? jtag_uart_1_avalon_jtag_slave_unreg_firsttransfer : jtag_uart_1_avalon_jtag_slave_reg_firsttransfer;
2097
 
2098
  //jtag_uart_1_avalon_jtag_slave_unreg_firsttransfer first transaction, which is an e_assign
2099
  assign jtag_uart_1_avalon_jtag_slave_unreg_firsttransfer = ~(jtag_uart_1_avalon_jtag_slave_slavearbiterlockenable & jtag_uart_1_avalon_jtag_slave_any_continuerequest);
2100
 
2101
  //jtag_uart_1_avalon_jtag_slave_reg_firsttransfer first transaction, which is an e_register
2102
  always @(posedge clk or negedge reset_n)
2103
    begin
2104
      if (reset_n == 0)
2105
          jtag_uart_1_avalon_jtag_slave_reg_firsttransfer <= 1'b1;
2106
      else if (jtag_uart_1_avalon_jtag_slave_begins_xfer)
2107
          jtag_uart_1_avalon_jtag_slave_reg_firsttransfer <= jtag_uart_1_avalon_jtag_slave_unreg_firsttransfer;
2108
    end
2109
 
2110
 
2111
  //jtag_uart_1_avalon_jtag_slave_beginbursttransfer_internal begin burst transfer, which is an e_assign
2112
  assign jtag_uart_1_avalon_jtag_slave_beginbursttransfer_internal = jtag_uart_1_avalon_jtag_slave_begins_xfer;
2113
 
2114
  //~jtag_uart_1_avalon_jtag_slave_read_n assignment, which is an e_mux
2115
  assign jtag_uart_1_avalon_jtag_slave_read_n = ~(cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_read);
2116
 
2117
  //~jtag_uart_1_avalon_jtag_slave_write_n assignment, which is an e_mux
2118
  assign jtag_uart_1_avalon_jtag_slave_write_n = ~(cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_write);
2119
 
2120
  assign shifted_address_to_jtag_uart_1_avalon_jtag_slave_from_cpu_1_data_master = cpu_1_data_master_address_to_slave;
2121
  //jtag_uart_1_avalon_jtag_slave_address mux, which is an e_mux
2122
  assign jtag_uart_1_avalon_jtag_slave_address = shifted_address_to_jtag_uart_1_avalon_jtag_slave_from_cpu_1_data_master >> 2;
2123
 
2124
  //d1_jtag_uart_1_avalon_jtag_slave_end_xfer register, which is an e_register
2125
  always @(posedge clk or negedge reset_n)
2126
    begin
2127
      if (reset_n == 0)
2128
          d1_jtag_uart_1_avalon_jtag_slave_end_xfer <= 1;
2129
      else
2130
        d1_jtag_uart_1_avalon_jtag_slave_end_xfer <= jtag_uart_1_avalon_jtag_slave_end_xfer;
2131
    end
2132
 
2133
 
2134
  //jtag_uart_1_avalon_jtag_slave_waits_for_read in a cycle, which is an e_mux
2135
  assign jtag_uart_1_avalon_jtag_slave_waits_for_read = jtag_uart_1_avalon_jtag_slave_in_a_read_cycle & jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
2136
 
2137
  //jtag_uart_1_avalon_jtag_slave_in_a_read_cycle assignment, which is an e_assign
2138
  assign jtag_uart_1_avalon_jtag_slave_in_a_read_cycle = cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_read;
2139
 
2140
  //in_a_read_cycle assignment, which is an e_mux
2141
  assign in_a_read_cycle = jtag_uart_1_avalon_jtag_slave_in_a_read_cycle;
2142
 
2143
  //jtag_uart_1_avalon_jtag_slave_waits_for_write in a cycle, which is an e_mux
2144
  assign jtag_uart_1_avalon_jtag_slave_waits_for_write = jtag_uart_1_avalon_jtag_slave_in_a_write_cycle & jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
2145
 
2146
  //jtag_uart_1_avalon_jtag_slave_in_a_write_cycle assignment, which is an e_assign
2147
  assign jtag_uart_1_avalon_jtag_slave_in_a_write_cycle = cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave & cpu_1_data_master_write;
2148
 
2149
  //in_a_write_cycle assignment, which is an e_mux
2150
  assign in_a_write_cycle = jtag_uart_1_avalon_jtag_slave_in_a_write_cycle;
2151
 
2152
  assign wait_for_jtag_uart_1_avalon_jtag_slave_counter = 0;
2153
  //assign jtag_uart_1_avalon_jtag_slave_irq_from_sa = jtag_uart_1_avalon_jtag_slave_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
2154
  assign jtag_uart_1_avalon_jtag_slave_irq_from_sa = jtag_uart_1_avalon_jtag_slave_irq;
2155
 
2156
 
2157
//synthesis translate_off
2158
//////////////// SIMULATION-ONLY CONTENTS
2159
  //jtag_uart_1/avalon_jtag_slave enable non-zero assertions, which is an e_register
2160
  always @(posedge clk or negedge reset_n)
2161
    begin
2162
      if (reset_n == 0)
2163
          enable_nonzero_assertions <= 0;
2164
      else
2165
        enable_nonzero_assertions <= 1'b1;
2166
    end
2167
 
2168
 
2169
 
2170
//////////////// END SIMULATION-ONLY CONTENTS
2171
 
2172
//synthesis translate_on
2173
 
2174
endmodule
2175
 
2176
 
2177
// synthesis translate_off
2178
`timescale 1ns / 1ps
2179
// synthesis translate_on
2180
 
2181
// turn off superfluous verilog processor warnings 
2182
// altera message_level Level1 
2183
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
2184
 
2185
module onchip_memory_1_s1_arbitrator (
2186
                                       // inputs:
2187
                                        clk,
2188
                                        hibi_pe_dma_1_avalon_master_1_address_to_slave,
2189
                                        hibi_pe_dma_1_avalon_master_1_latency_counter,
2190
                                        hibi_pe_dma_1_avalon_master_1_read,
2191
                                        hibi_pe_dma_1_avalon_master_address_to_slave,
2192
                                        hibi_pe_dma_1_avalon_master_byteenable,
2193
                                        hibi_pe_dma_1_avalon_master_write,
2194
                                        hibi_pe_dma_1_avalon_master_writedata,
2195
                                        onchip_memory_1_s1_readdata,
2196
                                        reset_n,
2197
 
2198
                                       // outputs:
2199
                                        d1_onchip_memory_1_s1_end_xfer,
2200
                                        hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1,
2201
                                        hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1,
2202
                                        hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1,
2203
                                        hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1,
2204
                                        hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1,
2205
                                        hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1,
2206
                                        hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1,
2207
                                        onchip_memory_1_s1_address,
2208
                                        onchip_memory_1_s1_byteenable,
2209
                                        onchip_memory_1_s1_chipselect,
2210
                                        onchip_memory_1_s1_clken,
2211
                                        onchip_memory_1_s1_readdata_from_sa,
2212
                                        onchip_memory_1_s1_reset,
2213
                                        onchip_memory_1_s1_write,
2214
                                        onchip_memory_1_s1_writedata
2215
                                     )
2216
;
2217
 
2218
  output           d1_onchip_memory_1_s1_end_xfer;
2219
  output           hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
2220
  output           hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1;
2221
  output           hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1;
2222
  output           hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
2223
  output           hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1;
2224
  output           hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1;
2225
  output           hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
2226
  output  [  8: 0] onchip_memory_1_s1_address;
2227
  output  [  3: 0] onchip_memory_1_s1_byteenable;
2228
  output           onchip_memory_1_s1_chipselect;
2229
  output           onchip_memory_1_s1_clken;
2230
  output  [ 31: 0] onchip_memory_1_s1_readdata_from_sa;
2231
  output           onchip_memory_1_s1_reset;
2232
  output           onchip_memory_1_s1_write;
2233
  output  [ 31: 0] onchip_memory_1_s1_writedata;
2234
  input            clk;
2235
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_1_address_to_slave;
2236
  input            hibi_pe_dma_1_avalon_master_1_latency_counter;
2237
  input            hibi_pe_dma_1_avalon_master_1_read;
2238
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_address_to_slave;
2239
  input   [  3: 0] hibi_pe_dma_1_avalon_master_byteenable;
2240
  input            hibi_pe_dma_1_avalon_master_write;
2241
  input   [ 31: 0] hibi_pe_dma_1_avalon_master_writedata;
2242
  input   [ 31: 0] onchip_memory_1_s1_readdata;
2243
  input            reset_n;
2244
 
2245
  reg              d1_onchip_memory_1_s1_end_xfer;
2246
  reg              d1_reasons_to_wait;
2247
  reg              enable_nonzero_assertions;
2248
  wire             end_xfer_arb_share_counter_term_onchip_memory_1_s1;
2249
  wire             hibi_pe_dma_1_avalon_master_1_arbiterlock;
2250
  wire             hibi_pe_dma_1_avalon_master_1_arbiterlock2;
2251
  wire             hibi_pe_dma_1_avalon_master_1_continuerequest;
2252
  wire             hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
2253
  wire             hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1;
2254
  wire             hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1;
2255
  reg              hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register;
2256
  wire             hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register_in;
2257
  wire             hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
2258
  wire             hibi_pe_dma_1_avalon_master_1_saved_grant_onchip_memory_1_s1;
2259
  wire             hibi_pe_dma_1_avalon_master_arbiterlock;
2260
  wire             hibi_pe_dma_1_avalon_master_arbiterlock2;
2261
  wire             hibi_pe_dma_1_avalon_master_continuerequest;
2262
  wire             hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1;
2263
  wire             hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1;
2264
  wire             hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
2265
  wire             hibi_pe_dma_1_avalon_master_saved_grant_onchip_memory_1_s1;
2266
  wire             in_a_read_cycle;
2267
  wire             in_a_write_cycle;
2268
  reg              last_cycle_hibi_pe_dma_1_avalon_master_1_granted_slave_onchip_memory_1_s1;
2269
  reg              last_cycle_hibi_pe_dma_1_avalon_master_granted_slave_onchip_memory_1_s1;
2270
  wire    [  8: 0] onchip_memory_1_s1_address;
2271
  wire             onchip_memory_1_s1_allgrants;
2272
  wire             onchip_memory_1_s1_allow_new_arb_cycle;
2273
  wire             onchip_memory_1_s1_any_bursting_master_saved_grant;
2274
  wire             onchip_memory_1_s1_any_continuerequest;
2275
  reg     [  1: 0] onchip_memory_1_s1_arb_addend;
2276
  wire             onchip_memory_1_s1_arb_counter_enable;
2277
  reg              onchip_memory_1_s1_arb_share_counter;
2278
  wire             onchip_memory_1_s1_arb_share_counter_next_value;
2279
  wire             onchip_memory_1_s1_arb_share_set_values;
2280
  wire    [  1: 0] onchip_memory_1_s1_arb_winner;
2281
  wire             onchip_memory_1_s1_arbitration_holdoff_internal;
2282
  wire             onchip_memory_1_s1_beginbursttransfer_internal;
2283
  wire             onchip_memory_1_s1_begins_xfer;
2284
  wire    [  3: 0] onchip_memory_1_s1_byteenable;
2285
  wire             onchip_memory_1_s1_chipselect;
2286
  wire    [  3: 0] onchip_memory_1_s1_chosen_master_double_vector;
2287
  wire    [  1: 0] onchip_memory_1_s1_chosen_master_rot_left;
2288
  wire             onchip_memory_1_s1_clken;
2289
  wire             onchip_memory_1_s1_end_xfer;
2290
  wire             onchip_memory_1_s1_firsttransfer;
2291
  wire    [  1: 0] onchip_memory_1_s1_grant_vector;
2292
  wire             onchip_memory_1_s1_in_a_read_cycle;
2293
  wire             onchip_memory_1_s1_in_a_write_cycle;
2294
  wire    [  1: 0] onchip_memory_1_s1_master_qreq_vector;
2295
  wire             onchip_memory_1_s1_non_bursting_master_requests;
2296
  wire    [ 31: 0] onchip_memory_1_s1_readdata_from_sa;
2297
  reg              onchip_memory_1_s1_reg_firsttransfer;
2298
  wire             onchip_memory_1_s1_reset;
2299
  reg     [  1: 0] onchip_memory_1_s1_saved_chosen_master_vector;
2300
  reg              onchip_memory_1_s1_slavearbiterlockenable;
2301
  wire             onchip_memory_1_s1_slavearbiterlockenable2;
2302
  wire             onchip_memory_1_s1_unreg_firsttransfer;
2303
  wire             onchip_memory_1_s1_waits_for_read;
2304
  wire             onchip_memory_1_s1_waits_for_write;
2305
  wire             onchip_memory_1_s1_write;
2306
  wire    [ 31: 0] onchip_memory_1_s1_writedata;
2307
  wire             p1_hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register;
2308
  wire    [ 31: 0] shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master;
2309
  wire    [ 31: 0] shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master_1;
2310
  wire             wait_for_onchip_memory_1_s1_counter;
2311
  always @(posedge clk or negedge reset_n)
2312
    begin
2313
      if (reset_n == 0)
2314
          d1_reasons_to_wait <= 0;
2315
      else
2316
        d1_reasons_to_wait <= ~onchip_memory_1_s1_end_xfer;
2317
    end
2318
 
2319
 
2320
  assign onchip_memory_1_s1_begins_xfer = ~d1_reasons_to_wait & ((hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1 | hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1));
2321
  //assign onchip_memory_1_s1_readdata_from_sa = onchip_memory_1_s1_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
2322
  assign onchip_memory_1_s1_readdata_from_sa = onchip_memory_1_s1_readdata;
2323
 
2324
  assign hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1 = (({hibi_pe_dma_1_avalon_master_address_to_slave[31 : 11] , 11'b0} == 32'h0) & (hibi_pe_dma_1_avalon_master_write)) & hibi_pe_dma_1_avalon_master_write;
2325
  //onchip_memory_1_s1_arb_share_counter set values, which is an e_mux
2326
  assign onchip_memory_1_s1_arb_share_set_values = 1;
2327
 
2328
  //onchip_memory_1_s1_non_bursting_master_requests mux, which is an e_mux
2329
  assign onchip_memory_1_s1_non_bursting_master_requests = hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1 |
2330
    hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1 |
2331
    hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1 |
2332
    hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
2333
 
2334
  //onchip_memory_1_s1_any_bursting_master_saved_grant mux, which is an e_mux
2335
  assign onchip_memory_1_s1_any_bursting_master_saved_grant = 0;
2336
 
2337
  //onchip_memory_1_s1_arb_share_counter_next_value assignment, which is an e_assign
2338
  assign onchip_memory_1_s1_arb_share_counter_next_value = onchip_memory_1_s1_firsttransfer ? (onchip_memory_1_s1_arb_share_set_values - 1) : |onchip_memory_1_s1_arb_share_counter ? (onchip_memory_1_s1_arb_share_counter - 1) : 0;
2339
 
2340
  //onchip_memory_1_s1_allgrants all slave grants, which is an e_mux
2341
  assign onchip_memory_1_s1_allgrants = (|onchip_memory_1_s1_grant_vector) |
2342
    (|onchip_memory_1_s1_grant_vector) |
2343
    (|onchip_memory_1_s1_grant_vector) |
2344
    (|onchip_memory_1_s1_grant_vector);
2345
 
2346
  //onchip_memory_1_s1_end_xfer assignment, which is an e_assign
2347
  assign onchip_memory_1_s1_end_xfer = ~(onchip_memory_1_s1_waits_for_read | onchip_memory_1_s1_waits_for_write);
2348
 
2349
  //end_xfer_arb_share_counter_term_onchip_memory_1_s1 arb share counter enable term, which is an e_assign
2350
  assign end_xfer_arb_share_counter_term_onchip_memory_1_s1 = onchip_memory_1_s1_end_xfer & (~onchip_memory_1_s1_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2351
 
2352
  //onchip_memory_1_s1_arb_share_counter arbitration counter enable, which is an e_assign
2353
  assign onchip_memory_1_s1_arb_counter_enable = (end_xfer_arb_share_counter_term_onchip_memory_1_s1 & onchip_memory_1_s1_allgrants) | (end_xfer_arb_share_counter_term_onchip_memory_1_s1 & ~onchip_memory_1_s1_non_bursting_master_requests);
2354
 
2355
  //onchip_memory_1_s1_arb_share_counter counter, which is an e_register
2356
  always @(posedge clk or negedge reset_n)
2357
    begin
2358
      if (reset_n == 0)
2359
          onchip_memory_1_s1_arb_share_counter <= 0;
2360
      else if (onchip_memory_1_s1_arb_counter_enable)
2361
          onchip_memory_1_s1_arb_share_counter <= onchip_memory_1_s1_arb_share_counter_next_value;
2362
    end
2363
 
2364
 
2365
  //onchip_memory_1_s1_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2366
  always @(posedge clk or negedge reset_n)
2367
    begin
2368
      if (reset_n == 0)
2369
          onchip_memory_1_s1_slavearbiterlockenable <= 0;
2370
      else if ((|onchip_memory_1_s1_master_qreq_vector & end_xfer_arb_share_counter_term_onchip_memory_1_s1) | (end_xfer_arb_share_counter_term_onchip_memory_1_s1 & ~onchip_memory_1_s1_non_bursting_master_requests))
2371
          onchip_memory_1_s1_slavearbiterlockenable <= |onchip_memory_1_s1_arb_share_counter_next_value;
2372
    end
2373
 
2374
 
2375
  //hibi_pe_dma_1/avalon_master onchip_memory_1/s1 arbiterlock, which is an e_assign
2376
  assign hibi_pe_dma_1_avalon_master_arbiterlock = onchip_memory_1_s1_slavearbiterlockenable & hibi_pe_dma_1_avalon_master_continuerequest;
2377
 
2378
  //onchip_memory_1_s1_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2379
  assign onchip_memory_1_s1_slavearbiterlockenable2 = |onchip_memory_1_s1_arb_share_counter_next_value;
2380
 
2381
  //hibi_pe_dma_1/avalon_master onchip_memory_1/s1 arbiterlock2, which is an e_assign
2382
  assign hibi_pe_dma_1_avalon_master_arbiterlock2 = onchip_memory_1_s1_slavearbiterlockenable2 & hibi_pe_dma_1_avalon_master_continuerequest;
2383
 
2384
  //hibi_pe_dma_1/avalon_master_1 onchip_memory_1/s1 arbiterlock, which is an e_assign
2385
  assign hibi_pe_dma_1_avalon_master_1_arbiterlock = onchip_memory_1_s1_slavearbiterlockenable & hibi_pe_dma_1_avalon_master_1_continuerequest;
2386
 
2387
  //hibi_pe_dma_1/avalon_master_1 onchip_memory_1/s1 arbiterlock2, which is an e_assign
2388
  assign hibi_pe_dma_1_avalon_master_1_arbiterlock2 = onchip_memory_1_s1_slavearbiterlockenable2 & hibi_pe_dma_1_avalon_master_1_continuerequest;
2389
 
2390
  //hibi_pe_dma_1/avalon_master_1 granted onchip_memory_1/s1 last time, which is an e_register
2391
  always @(posedge clk or negedge reset_n)
2392
    begin
2393
      if (reset_n == 0)
2394
          last_cycle_hibi_pe_dma_1_avalon_master_1_granted_slave_onchip_memory_1_s1 <= 0;
2395
      else
2396
        last_cycle_hibi_pe_dma_1_avalon_master_1_granted_slave_onchip_memory_1_s1 <= hibi_pe_dma_1_avalon_master_1_saved_grant_onchip_memory_1_s1 ? 1 : (onchip_memory_1_s1_arbitration_holdoff_internal | ~hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1) ? 0 : last_cycle_hibi_pe_dma_1_avalon_master_1_granted_slave_onchip_memory_1_s1;
2397
    end
2398
 
2399
 
2400
  //hibi_pe_dma_1_avalon_master_1_continuerequest continued request, which is an e_mux
2401
  assign hibi_pe_dma_1_avalon_master_1_continuerequest = last_cycle_hibi_pe_dma_1_avalon_master_1_granted_slave_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
2402
 
2403
  //onchip_memory_1_s1_any_continuerequest at least one master continues requesting, which is an e_mux
2404
  assign onchip_memory_1_s1_any_continuerequest = hibi_pe_dma_1_avalon_master_1_continuerequest |
2405
    hibi_pe_dma_1_avalon_master_continuerequest;
2406
 
2407
  assign hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1 = hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1 & ~(hibi_pe_dma_1_avalon_master_1_arbiterlock);
2408
  //onchip_memory_1_s1_writedata mux, which is an e_mux
2409
  assign onchip_memory_1_s1_writedata = hibi_pe_dma_1_avalon_master_writedata;
2410
 
2411
  //mux onchip_memory_1_s1_clken, which is an e_mux
2412
  assign onchip_memory_1_s1_clken = 1'b1;
2413
 
2414
  assign hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1 = (({hibi_pe_dma_1_avalon_master_1_address_to_slave[31 : 11] , 11'b0} == 32'h0) & (hibi_pe_dma_1_avalon_master_1_read)) & hibi_pe_dma_1_avalon_master_1_read;
2415
  //hibi_pe_dma_1/avalon_master granted onchip_memory_1/s1 last time, which is an e_register
2416
  always @(posedge clk or negedge reset_n)
2417
    begin
2418
      if (reset_n == 0)
2419
          last_cycle_hibi_pe_dma_1_avalon_master_granted_slave_onchip_memory_1_s1 <= 0;
2420
      else
2421
        last_cycle_hibi_pe_dma_1_avalon_master_granted_slave_onchip_memory_1_s1 <= hibi_pe_dma_1_avalon_master_saved_grant_onchip_memory_1_s1 ? 1 : (onchip_memory_1_s1_arbitration_holdoff_internal | ~hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1) ? 0 : last_cycle_hibi_pe_dma_1_avalon_master_granted_slave_onchip_memory_1_s1;
2422
    end
2423
 
2424
 
2425
  //hibi_pe_dma_1_avalon_master_continuerequest continued request, which is an e_mux
2426
  assign hibi_pe_dma_1_avalon_master_continuerequest = last_cycle_hibi_pe_dma_1_avalon_master_granted_slave_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
2427
 
2428
  assign hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1 = hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1 & ~(hibi_pe_dma_1_avalon_master_arbiterlock);
2429
  //hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register_in mux for readlatency shift register, which is an e_mux
2430
  assign hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register_in = hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_1_read & ~onchip_memory_1_s1_waits_for_read;
2431
 
2432
  //shift register p1 hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register in if flush, otherwise shift left, which is an e_mux
2433
  assign p1_hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register = {hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register, hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register_in};
2434
 
2435
  //hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register for remembering which master asked for a fixed latency read, which is an e_register
2436
  always @(posedge clk or negedge reset_n)
2437
    begin
2438
      if (reset_n == 0)
2439
          hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register <= 0;
2440
      else
2441
        hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register <= p1_hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register;
2442
    end
2443
 
2444
 
2445
  //local readdatavalid hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1, which is an e_mux
2446
  assign hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1 = hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1_shift_register;
2447
 
2448
  //allow new arb cycle for onchip_memory_1/s1, which is an e_assign
2449
  assign onchip_memory_1_s1_allow_new_arb_cycle = ~hibi_pe_dma_1_avalon_master_arbiterlock & ~hibi_pe_dma_1_avalon_master_1_arbiterlock;
2450
 
2451
  //hibi_pe_dma_1/avalon_master_1 assignment into master qualified-requests vector for onchip_memory_1/s1, which is an e_assign
2452
  assign onchip_memory_1_s1_master_qreq_vector[0] = hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1;
2453
 
2454
  //hibi_pe_dma_1/avalon_master_1 grant onchip_memory_1/s1, which is an e_assign
2455
  assign hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1 = onchip_memory_1_s1_grant_vector[0];
2456
 
2457
  //hibi_pe_dma_1/avalon_master_1 saved-grant onchip_memory_1/s1, which is an e_assign
2458
  assign hibi_pe_dma_1_avalon_master_1_saved_grant_onchip_memory_1_s1 = onchip_memory_1_s1_arb_winner[0] && hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
2459
 
2460
  //hibi_pe_dma_1/avalon_master assignment into master qualified-requests vector for onchip_memory_1/s1, which is an e_assign
2461
  assign onchip_memory_1_s1_master_qreq_vector[1] = hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1;
2462
 
2463
  //hibi_pe_dma_1/avalon_master grant onchip_memory_1/s1, which is an e_assign
2464
  assign hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 = onchip_memory_1_s1_grant_vector[1];
2465
 
2466
  //hibi_pe_dma_1/avalon_master saved-grant onchip_memory_1/s1, which is an e_assign
2467
  assign hibi_pe_dma_1_avalon_master_saved_grant_onchip_memory_1_s1 = onchip_memory_1_s1_arb_winner[1] && hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
2468
 
2469
  //onchip_memory_1/s1 chosen-master double-vector, which is an e_assign
2470
  assign onchip_memory_1_s1_chosen_master_double_vector = {onchip_memory_1_s1_master_qreq_vector, onchip_memory_1_s1_master_qreq_vector} & ({~onchip_memory_1_s1_master_qreq_vector, ~onchip_memory_1_s1_master_qreq_vector} + onchip_memory_1_s1_arb_addend);
2471
 
2472
  //stable onehot encoding of arb winner
2473
  assign onchip_memory_1_s1_arb_winner = (onchip_memory_1_s1_allow_new_arb_cycle & | onchip_memory_1_s1_grant_vector) ? onchip_memory_1_s1_grant_vector : onchip_memory_1_s1_saved_chosen_master_vector;
2474
 
2475
  //saved onchip_memory_1_s1_grant_vector, which is an e_register
2476
  always @(posedge clk or negedge reset_n)
2477
    begin
2478
      if (reset_n == 0)
2479
          onchip_memory_1_s1_saved_chosen_master_vector <= 0;
2480
      else if (onchip_memory_1_s1_allow_new_arb_cycle)
2481
          onchip_memory_1_s1_saved_chosen_master_vector <= |onchip_memory_1_s1_grant_vector ? onchip_memory_1_s1_grant_vector : onchip_memory_1_s1_saved_chosen_master_vector;
2482
    end
2483
 
2484
 
2485
  //onehot encoding of chosen master
2486
  assign onchip_memory_1_s1_grant_vector = {(onchip_memory_1_s1_chosen_master_double_vector[1] | onchip_memory_1_s1_chosen_master_double_vector[3]),
2487
    (onchip_memory_1_s1_chosen_master_double_vector[0] | onchip_memory_1_s1_chosen_master_double_vector[2])};
2488
 
2489
  //onchip_memory_1/s1 chosen master rotated left, which is an e_assign
2490
  assign onchip_memory_1_s1_chosen_master_rot_left = (onchip_memory_1_s1_arb_winner << 1) ? (onchip_memory_1_s1_arb_winner << 1) : 1;
2491
 
2492
  //onchip_memory_1/s1's addend for next-master-grant
2493
  always @(posedge clk or negedge reset_n)
2494
    begin
2495
      if (reset_n == 0)
2496
          onchip_memory_1_s1_arb_addend <= 1;
2497
      else if (|onchip_memory_1_s1_grant_vector)
2498
          onchip_memory_1_s1_arb_addend <= onchip_memory_1_s1_end_xfer? onchip_memory_1_s1_chosen_master_rot_left : onchip_memory_1_s1_grant_vector;
2499
    end
2500
 
2501
 
2502
  //~onchip_memory_1_s1_reset assignment, which is an e_assign
2503
  assign onchip_memory_1_s1_reset = ~reset_n;
2504
 
2505
  assign onchip_memory_1_s1_chipselect = hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 | hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
2506
  //onchip_memory_1_s1_firsttransfer first transaction, which is an e_assign
2507
  assign onchip_memory_1_s1_firsttransfer = onchip_memory_1_s1_begins_xfer ? onchip_memory_1_s1_unreg_firsttransfer : onchip_memory_1_s1_reg_firsttransfer;
2508
 
2509
  //onchip_memory_1_s1_unreg_firsttransfer first transaction, which is an e_assign
2510
  assign onchip_memory_1_s1_unreg_firsttransfer = ~(onchip_memory_1_s1_slavearbiterlockenable & onchip_memory_1_s1_any_continuerequest);
2511
 
2512
  //onchip_memory_1_s1_reg_firsttransfer first transaction, which is an e_register
2513
  always @(posedge clk or negedge reset_n)
2514
    begin
2515
      if (reset_n == 0)
2516
          onchip_memory_1_s1_reg_firsttransfer <= 1'b1;
2517
      else if (onchip_memory_1_s1_begins_xfer)
2518
          onchip_memory_1_s1_reg_firsttransfer <= onchip_memory_1_s1_unreg_firsttransfer;
2519
    end
2520
 
2521
 
2522
  //onchip_memory_1_s1_beginbursttransfer_internal begin burst transfer, which is an e_assign
2523
  assign onchip_memory_1_s1_beginbursttransfer_internal = onchip_memory_1_s1_begins_xfer;
2524
 
2525
  //onchip_memory_1_s1_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
2526
  assign onchip_memory_1_s1_arbitration_holdoff_internal = onchip_memory_1_s1_begins_xfer & onchip_memory_1_s1_firsttransfer;
2527
 
2528
  //onchip_memory_1_s1_write assignment, which is an e_mux
2529
  assign onchip_memory_1_s1_write = hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_write;
2530
 
2531
  assign shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master = hibi_pe_dma_1_avalon_master_address_to_slave;
2532
  //onchip_memory_1_s1_address mux, which is an e_mux
2533
  assign onchip_memory_1_s1_address = (hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1)? (shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master >> 2) :
2534
    (shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master_1 >> 2);
2535
 
2536
  assign shifted_address_to_onchip_memory_1_s1_from_hibi_pe_dma_1_avalon_master_1 = hibi_pe_dma_1_avalon_master_1_address_to_slave;
2537
  //d1_onchip_memory_1_s1_end_xfer register, which is an e_register
2538
  always @(posedge clk or negedge reset_n)
2539
    begin
2540
      if (reset_n == 0)
2541
          d1_onchip_memory_1_s1_end_xfer <= 1;
2542
      else
2543
        d1_onchip_memory_1_s1_end_xfer <= onchip_memory_1_s1_end_xfer;
2544
    end
2545
 
2546
 
2547
  //onchip_memory_1_s1_waits_for_read in a cycle, which is an e_mux
2548
  assign onchip_memory_1_s1_waits_for_read = onchip_memory_1_s1_in_a_read_cycle & 0;
2549
 
2550
  //onchip_memory_1_s1_in_a_read_cycle assignment, which is an e_assign
2551
  assign onchip_memory_1_s1_in_a_read_cycle = hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_1_read;
2552
 
2553
  //in_a_read_cycle assignment, which is an e_mux
2554
  assign in_a_read_cycle = onchip_memory_1_s1_in_a_read_cycle;
2555
 
2556
  //onchip_memory_1_s1_waits_for_write in a cycle, which is an e_mux
2557
  assign onchip_memory_1_s1_waits_for_write = onchip_memory_1_s1_in_a_write_cycle & 0;
2558
 
2559
  //onchip_memory_1_s1_in_a_write_cycle assignment, which is an e_assign
2560
  assign onchip_memory_1_s1_in_a_write_cycle = hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 & hibi_pe_dma_1_avalon_master_write;
2561
 
2562
  //in_a_write_cycle assignment, which is an e_mux
2563
  assign in_a_write_cycle = onchip_memory_1_s1_in_a_write_cycle;
2564
 
2565
  assign wait_for_onchip_memory_1_s1_counter = 0;
2566
  //onchip_memory_1_s1_byteenable byte enable port mux, which is an e_mux
2567
  assign onchip_memory_1_s1_byteenable = (hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1)? hibi_pe_dma_1_avalon_master_byteenable :
2568
    -1;
2569
 
2570
 
2571
//synthesis translate_off
2572
//////////////// SIMULATION-ONLY CONTENTS
2573
  //onchip_memory_1/s1 enable non-zero assertions, which is an e_register
2574
  always @(posedge clk or negedge reset_n)
2575
    begin
2576
      if (reset_n == 0)
2577
          enable_nonzero_assertions <= 0;
2578
      else
2579
        enable_nonzero_assertions <= 1'b1;
2580
    end
2581
 
2582
 
2583
  //grant signals are active simultaneously, which is an e_process
2584
  always @(posedge clk)
2585
    begin
2586
      if (hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1 + hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1 > 1)
2587
        begin
2588
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
2589
          $stop;
2590
        end
2591
    end
2592
 
2593
 
2594
  //saved_grant signals are active simultaneously, which is an e_process
2595
  always @(posedge clk)
2596
    begin
2597
      if (hibi_pe_dma_1_avalon_master_1_saved_grant_onchip_memory_1_s1 + hibi_pe_dma_1_avalon_master_saved_grant_onchip_memory_1_s1 > 1)
2598
        begin
2599
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
2600
          $stop;
2601
        end
2602
    end
2603
 
2604
 
2605
 
2606
//////////////// END SIMULATION-ONLY CONTENTS
2607
 
2608
//synthesis translate_on
2609
 
2610
endmodule
2611
 
2612
 
2613
// synthesis translate_off
2614
`timescale 1ns / 1ps
2615
// synthesis translate_on
2616
 
2617
// turn off superfluous verilog processor warnings 
2618
// altera message_level Level1 
2619
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
2620
 
2621
module onchip_memory_1_s2_arbitrator (
2622
                                       // inputs:
2623
                                        clk,
2624
                                        cpu_1_data_master_address_to_slave,
2625
                                        cpu_1_data_master_byteenable,
2626
                                        cpu_1_data_master_latency_counter,
2627
                                        cpu_1_data_master_read,
2628
                                        cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
2629
                                        cpu_1_data_master_write,
2630
                                        cpu_1_data_master_writedata,
2631
                                        onchip_memory_1_s2_readdata,
2632
                                        reset_n,
2633
 
2634
                                       // outputs:
2635
                                        cpu_1_data_master_granted_onchip_memory_1_s2,
2636
                                        cpu_1_data_master_qualified_request_onchip_memory_1_s2,
2637
                                        cpu_1_data_master_read_data_valid_onchip_memory_1_s2,
2638
                                        cpu_1_data_master_requests_onchip_memory_1_s2,
2639
                                        d1_onchip_memory_1_s2_end_xfer,
2640
                                        onchip_memory_1_s2_address,
2641
                                        onchip_memory_1_s2_byteenable,
2642
                                        onchip_memory_1_s2_chipselect,
2643
                                        onchip_memory_1_s2_clken,
2644
                                        onchip_memory_1_s2_readdata_from_sa,
2645
                                        onchip_memory_1_s2_reset,
2646
                                        onchip_memory_1_s2_write,
2647
                                        onchip_memory_1_s2_writedata
2648
                                     )
2649
;
2650
 
2651
  output           cpu_1_data_master_granted_onchip_memory_1_s2;
2652
  output           cpu_1_data_master_qualified_request_onchip_memory_1_s2;
2653
  output           cpu_1_data_master_read_data_valid_onchip_memory_1_s2;
2654
  output           cpu_1_data_master_requests_onchip_memory_1_s2;
2655
  output           d1_onchip_memory_1_s2_end_xfer;
2656
  output  [  8: 0] onchip_memory_1_s2_address;
2657
  output  [  3: 0] onchip_memory_1_s2_byteenable;
2658
  output           onchip_memory_1_s2_chipselect;
2659
  output           onchip_memory_1_s2_clken;
2660
  output  [ 31: 0] onchip_memory_1_s2_readdata_from_sa;
2661
  output           onchip_memory_1_s2_reset;
2662
  output           onchip_memory_1_s2_write;
2663
  output  [ 31: 0] onchip_memory_1_s2_writedata;
2664
  input            clk;
2665
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
2666
  input   [  3: 0] cpu_1_data_master_byteenable;
2667
  input            cpu_1_data_master_latency_counter;
2668
  input            cpu_1_data_master_read;
2669
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
2670
  input            cpu_1_data_master_write;
2671
  input   [ 31: 0] cpu_1_data_master_writedata;
2672
  input   [ 31: 0] onchip_memory_1_s2_readdata;
2673
  input            reset_n;
2674
 
2675
  wire             cpu_1_data_master_arbiterlock;
2676
  wire             cpu_1_data_master_arbiterlock2;
2677
  wire             cpu_1_data_master_continuerequest;
2678
  wire             cpu_1_data_master_granted_onchip_memory_1_s2;
2679
  wire             cpu_1_data_master_qualified_request_onchip_memory_1_s2;
2680
  wire             cpu_1_data_master_read_data_valid_onchip_memory_1_s2;
2681
  reg              cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register;
2682
  wire             cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register_in;
2683
  wire             cpu_1_data_master_requests_onchip_memory_1_s2;
2684
  wire             cpu_1_data_master_saved_grant_onchip_memory_1_s2;
2685
  reg              d1_onchip_memory_1_s2_end_xfer;
2686
  reg              d1_reasons_to_wait;
2687
  reg              enable_nonzero_assertions;
2688
  wire             end_xfer_arb_share_counter_term_onchip_memory_1_s2;
2689
  wire             in_a_read_cycle;
2690
  wire             in_a_write_cycle;
2691
  wire    [  8: 0] onchip_memory_1_s2_address;
2692
  wire             onchip_memory_1_s2_allgrants;
2693
  wire             onchip_memory_1_s2_allow_new_arb_cycle;
2694
  wire             onchip_memory_1_s2_any_bursting_master_saved_grant;
2695
  wire             onchip_memory_1_s2_any_continuerequest;
2696
  wire             onchip_memory_1_s2_arb_counter_enable;
2697
  reg     [  1: 0] onchip_memory_1_s2_arb_share_counter;
2698
  wire    [  1: 0] onchip_memory_1_s2_arb_share_counter_next_value;
2699
  wire    [  1: 0] onchip_memory_1_s2_arb_share_set_values;
2700
  wire             onchip_memory_1_s2_beginbursttransfer_internal;
2701
  wire             onchip_memory_1_s2_begins_xfer;
2702
  wire    [  3: 0] onchip_memory_1_s2_byteenable;
2703
  wire             onchip_memory_1_s2_chipselect;
2704
  wire             onchip_memory_1_s2_clken;
2705
  wire             onchip_memory_1_s2_end_xfer;
2706
  wire             onchip_memory_1_s2_firsttransfer;
2707
  wire             onchip_memory_1_s2_grant_vector;
2708
  wire             onchip_memory_1_s2_in_a_read_cycle;
2709
  wire             onchip_memory_1_s2_in_a_write_cycle;
2710
  wire             onchip_memory_1_s2_master_qreq_vector;
2711
  wire             onchip_memory_1_s2_non_bursting_master_requests;
2712
  wire    [ 31: 0] onchip_memory_1_s2_readdata_from_sa;
2713
  reg              onchip_memory_1_s2_reg_firsttransfer;
2714
  wire             onchip_memory_1_s2_reset;
2715
  reg              onchip_memory_1_s2_slavearbiterlockenable;
2716
  wire             onchip_memory_1_s2_slavearbiterlockenable2;
2717
  wire             onchip_memory_1_s2_unreg_firsttransfer;
2718
  wire             onchip_memory_1_s2_waits_for_read;
2719
  wire             onchip_memory_1_s2_waits_for_write;
2720
  wire             onchip_memory_1_s2_write;
2721
  wire    [ 31: 0] onchip_memory_1_s2_writedata;
2722
  wire             p1_cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register;
2723
  wire    [ 24: 0] shifted_address_to_onchip_memory_1_s2_from_cpu_1_data_master;
2724
  wire             wait_for_onchip_memory_1_s2_counter;
2725
  always @(posedge clk or negedge reset_n)
2726
    begin
2727
      if (reset_n == 0)
2728
          d1_reasons_to_wait <= 0;
2729
      else
2730
        d1_reasons_to_wait <= ~onchip_memory_1_s2_end_xfer;
2731
    end
2732
 
2733
 
2734
  assign onchip_memory_1_s2_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_onchip_memory_1_s2));
2735
  //assign onchip_memory_1_s2_readdata_from_sa = onchip_memory_1_s2_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
2736
  assign onchip_memory_1_s2_readdata_from_sa = onchip_memory_1_s2_readdata;
2737
 
2738
  assign cpu_1_data_master_requests_onchip_memory_1_s2 = ({cpu_1_data_master_address_to_slave[24 : 11] , 11'b0} == 25'h1001000) & (cpu_1_data_master_read | cpu_1_data_master_write);
2739
  //onchip_memory_1_s2_arb_share_counter set values, which is an e_mux
2740
  assign onchip_memory_1_s2_arb_share_set_values = 1;
2741
 
2742
  //onchip_memory_1_s2_non_bursting_master_requests mux, which is an e_mux
2743
  assign onchip_memory_1_s2_non_bursting_master_requests = cpu_1_data_master_requests_onchip_memory_1_s2;
2744
 
2745
  //onchip_memory_1_s2_any_bursting_master_saved_grant mux, which is an e_mux
2746
  assign onchip_memory_1_s2_any_bursting_master_saved_grant = 0;
2747
 
2748
  //onchip_memory_1_s2_arb_share_counter_next_value assignment, which is an e_assign
2749
  assign onchip_memory_1_s2_arb_share_counter_next_value = onchip_memory_1_s2_firsttransfer ? (onchip_memory_1_s2_arb_share_set_values - 1) : |onchip_memory_1_s2_arb_share_counter ? (onchip_memory_1_s2_arb_share_counter - 1) : 0;
2750
 
2751
  //onchip_memory_1_s2_allgrants all slave grants, which is an e_mux
2752
  assign onchip_memory_1_s2_allgrants = |onchip_memory_1_s2_grant_vector;
2753
 
2754
  //onchip_memory_1_s2_end_xfer assignment, which is an e_assign
2755
  assign onchip_memory_1_s2_end_xfer = ~(onchip_memory_1_s2_waits_for_read | onchip_memory_1_s2_waits_for_write);
2756
 
2757
  //end_xfer_arb_share_counter_term_onchip_memory_1_s2 arb share counter enable term, which is an e_assign
2758
  assign end_xfer_arb_share_counter_term_onchip_memory_1_s2 = onchip_memory_1_s2_end_xfer & (~onchip_memory_1_s2_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2759
 
2760
  //onchip_memory_1_s2_arb_share_counter arbitration counter enable, which is an e_assign
2761
  assign onchip_memory_1_s2_arb_counter_enable = (end_xfer_arb_share_counter_term_onchip_memory_1_s2 & onchip_memory_1_s2_allgrants) | (end_xfer_arb_share_counter_term_onchip_memory_1_s2 & ~onchip_memory_1_s2_non_bursting_master_requests);
2762
 
2763
  //onchip_memory_1_s2_arb_share_counter counter, which is an e_register
2764
  always @(posedge clk or negedge reset_n)
2765
    begin
2766
      if (reset_n == 0)
2767
          onchip_memory_1_s2_arb_share_counter <= 0;
2768
      else if (onchip_memory_1_s2_arb_counter_enable)
2769
          onchip_memory_1_s2_arb_share_counter <= onchip_memory_1_s2_arb_share_counter_next_value;
2770
    end
2771
 
2772
 
2773
  //onchip_memory_1_s2_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2774
  always @(posedge clk or negedge reset_n)
2775
    begin
2776
      if (reset_n == 0)
2777
          onchip_memory_1_s2_slavearbiterlockenable <= 0;
2778
      else if ((|onchip_memory_1_s2_master_qreq_vector & end_xfer_arb_share_counter_term_onchip_memory_1_s2) | (end_xfer_arb_share_counter_term_onchip_memory_1_s2 & ~onchip_memory_1_s2_non_bursting_master_requests))
2779
          onchip_memory_1_s2_slavearbiterlockenable <= |onchip_memory_1_s2_arb_share_counter_next_value;
2780
    end
2781
 
2782
 
2783
  //cpu_1/data_master onchip_memory_1/s2 arbiterlock, which is an e_assign
2784
  assign cpu_1_data_master_arbiterlock = onchip_memory_1_s2_slavearbiterlockenable & cpu_1_data_master_continuerequest;
2785
 
2786
  //onchip_memory_1_s2_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2787
  assign onchip_memory_1_s2_slavearbiterlockenable2 = |onchip_memory_1_s2_arb_share_counter_next_value;
2788
 
2789
  //cpu_1/data_master onchip_memory_1/s2 arbiterlock2, which is an e_assign
2790
  assign cpu_1_data_master_arbiterlock2 = onchip_memory_1_s2_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
2791
 
2792
  //onchip_memory_1_s2_any_continuerequest at least one master continues requesting, which is an e_assign
2793
  assign onchip_memory_1_s2_any_continuerequest = 1;
2794
 
2795
  //cpu_1_data_master_continuerequest continued request, which is an e_assign
2796
  assign cpu_1_data_master_continuerequest = 1;
2797
 
2798
  assign cpu_1_data_master_qualified_request_onchip_memory_1_s2 = cpu_1_data_master_requests_onchip_memory_1_s2 & ~((cpu_1_data_master_read & ((1 < cpu_1_data_master_latency_counter) | (|cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register))));
2799
  //cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register_in mux for readlatency shift register, which is an e_mux
2800
  assign cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register_in = cpu_1_data_master_granted_onchip_memory_1_s2 & cpu_1_data_master_read & ~onchip_memory_1_s2_waits_for_read;
2801
 
2802
  //shift register p1 cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register in if flush, otherwise shift left, which is an e_mux
2803
  assign p1_cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register = {cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register, cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register_in};
2804
 
2805
  //cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register for remembering which master asked for a fixed latency read, which is an e_register
2806
  always @(posedge clk or negedge reset_n)
2807
    begin
2808
      if (reset_n == 0)
2809
          cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register <= 0;
2810
      else
2811
        cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register <= p1_cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register;
2812
    end
2813
 
2814
 
2815
  //local readdatavalid cpu_1_data_master_read_data_valid_onchip_memory_1_s2, which is an e_mux
2816
  assign cpu_1_data_master_read_data_valid_onchip_memory_1_s2 = cpu_1_data_master_read_data_valid_onchip_memory_1_s2_shift_register;
2817
 
2818
  //onchip_memory_1_s2_writedata mux, which is an e_mux
2819
  assign onchip_memory_1_s2_writedata = cpu_1_data_master_writedata;
2820
 
2821
  //mux onchip_memory_1_s2_clken, which is an e_mux
2822
  assign onchip_memory_1_s2_clken = 1'b1;
2823
 
2824
  //master is always granted when requested
2825
  assign cpu_1_data_master_granted_onchip_memory_1_s2 = cpu_1_data_master_qualified_request_onchip_memory_1_s2;
2826
 
2827
  //cpu_1/data_master saved-grant onchip_memory_1/s2, which is an e_assign
2828
  assign cpu_1_data_master_saved_grant_onchip_memory_1_s2 = cpu_1_data_master_requests_onchip_memory_1_s2;
2829
 
2830
  //allow new arb cycle for onchip_memory_1/s2, which is an e_assign
2831
  assign onchip_memory_1_s2_allow_new_arb_cycle = 1;
2832
 
2833
  //placeholder chosen master
2834
  assign onchip_memory_1_s2_grant_vector = 1;
2835
 
2836
  //placeholder vector of master qualified-requests
2837
  assign onchip_memory_1_s2_master_qreq_vector = 1;
2838
 
2839
  //~onchip_memory_1_s2_reset assignment, which is an e_assign
2840
  assign onchip_memory_1_s2_reset = ~reset_n;
2841
 
2842
  assign onchip_memory_1_s2_chipselect = cpu_1_data_master_granted_onchip_memory_1_s2;
2843
  //onchip_memory_1_s2_firsttransfer first transaction, which is an e_assign
2844
  assign onchip_memory_1_s2_firsttransfer = onchip_memory_1_s2_begins_xfer ? onchip_memory_1_s2_unreg_firsttransfer : onchip_memory_1_s2_reg_firsttransfer;
2845
 
2846
  //onchip_memory_1_s2_unreg_firsttransfer first transaction, which is an e_assign
2847
  assign onchip_memory_1_s2_unreg_firsttransfer = ~(onchip_memory_1_s2_slavearbiterlockenable & onchip_memory_1_s2_any_continuerequest);
2848
 
2849
  //onchip_memory_1_s2_reg_firsttransfer first transaction, which is an e_register
2850
  always @(posedge clk or negedge reset_n)
2851
    begin
2852
      if (reset_n == 0)
2853
          onchip_memory_1_s2_reg_firsttransfer <= 1'b1;
2854
      else if (onchip_memory_1_s2_begins_xfer)
2855
          onchip_memory_1_s2_reg_firsttransfer <= onchip_memory_1_s2_unreg_firsttransfer;
2856
    end
2857
 
2858
 
2859
  //onchip_memory_1_s2_beginbursttransfer_internal begin burst transfer, which is an e_assign
2860
  assign onchip_memory_1_s2_beginbursttransfer_internal = onchip_memory_1_s2_begins_xfer;
2861
 
2862
  //onchip_memory_1_s2_write assignment, which is an e_mux
2863
  assign onchip_memory_1_s2_write = cpu_1_data_master_granted_onchip_memory_1_s2 & cpu_1_data_master_write;
2864
 
2865
  assign shifted_address_to_onchip_memory_1_s2_from_cpu_1_data_master = cpu_1_data_master_address_to_slave;
2866
  //onchip_memory_1_s2_address mux, which is an e_mux
2867
  assign onchip_memory_1_s2_address = shifted_address_to_onchip_memory_1_s2_from_cpu_1_data_master >> 2;
2868
 
2869
  //d1_onchip_memory_1_s2_end_xfer register, which is an e_register
2870
  always @(posedge clk or negedge reset_n)
2871
    begin
2872
      if (reset_n == 0)
2873
          d1_onchip_memory_1_s2_end_xfer <= 1;
2874
      else
2875
        d1_onchip_memory_1_s2_end_xfer <= onchip_memory_1_s2_end_xfer;
2876
    end
2877
 
2878
 
2879
  //onchip_memory_1_s2_waits_for_read in a cycle, which is an e_mux
2880
  assign onchip_memory_1_s2_waits_for_read = onchip_memory_1_s2_in_a_read_cycle & 0;
2881
 
2882
  //onchip_memory_1_s2_in_a_read_cycle assignment, which is an e_assign
2883
  assign onchip_memory_1_s2_in_a_read_cycle = cpu_1_data_master_granted_onchip_memory_1_s2 & cpu_1_data_master_read;
2884
 
2885
  //in_a_read_cycle assignment, which is an e_mux
2886
  assign in_a_read_cycle = onchip_memory_1_s2_in_a_read_cycle;
2887
 
2888
  //onchip_memory_1_s2_waits_for_write in a cycle, which is an e_mux
2889
  assign onchip_memory_1_s2_waits_for_write = onchip_memory_1_s2_in_a_write_cycle & 0;
2890
 
2891
  //onchip_memory_1_s2_in_a_write_cycle assignment, which is an e_assign
2892
  assign onchip_memory_1_s2_in_a_write_cycle = cpu_1_data_master_granted_onchip_memory_1_s2 & cpu_1_data_master_write;
2893
 
2894
  //in_a_write_cycle assignment, which is an e_mux
2895
  assign in_a_write_cycle = onchip_memory_1_s2_in_a_write_cycle;
2896
 
2897
  assign wait_for_onchip_memory_1_s2_counter = 0;
2898
  //onchip_memory_1_s2_byteenable byte enable port mux, which is an e_mux
2899
  assign onchip_memory_1_s2_byteenable = (cpu_1_data_master_granted_onchip_memory_1_s2)? cpu_1_data_master_byteenable :
2900
    -1;
2901
 
2902
 
2903
//synthesis translate_off
2904
//////////////// SIMULATION-ONLY CONTENTS
2905
  //onchip_memory_1/s2 enable non-zero assertions, which is an e_register
2906
  always @(posedge clk or negedge reset_n)
2907
    begin
2908
      if (reset_n == 0)
2909
          enable_nonzero_assertions <= 0;
2910
      else
2911
        enable_nonzero_assertions <= 1'b1;
2912
    end
2913
 
2914
 
2915
 
2916
//////////////// END SIMULATION-ONLY CONTENTS
2917
 
2918
//synthesis translate_on
2919
 
2920
endmodule
2921
 
2922
 
2923
// synthesis translate_off
2924
`timescale 1ns / 1ps
2925
// synthesis translate_on
2926
 
2927
// turn off superfluous verilog processor warnings 
2928
// altera message_level Level1 
2929
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
2930
 
2931
module rdv_fifo_for_cpu_1_data_master_to_sdram_1_s1_module (
2932
                                                             // inputs:
2933
                                                              clear_fifo,
2934
                                                              clk,
2935
                                                              data_in,
2936
                                                              read,
2937
                                                              reset_n,
2938
                                                              sync_reset,
2939
                                                              write,
2940
 
2941
                                                             // outputs:
2942
                                                              data_out,
2943
                                                              empty,
2944
                                                              fifo_contains_ones_n,
2945
                                                              full
2946
                                                           )
2947
;
2948
 
2949
  output           data_out;
2950
  output           empty;
2951
  output           fifo_contains_ones_n;
2952
  output           full;
2953
  input            clear_fifo;
2954
  input            clk;
2955
  input            data_in;
2956
  input            read;
2957
  input            reset_n;
2958
  input            sync_reset;
2959
  input            write;
2960
 
2961
  wire             data_out;
2962
  wire             empty;
2963
  reg              fifo_contains_ones_n;
2964
  wire             full;
2965
  reg              full_0;
2966
  reg              full_1;
2967
  reg              full_2;
2968
  reg              full_3;
2969
  reg              full_4;
2970
  reg              full_5;
2971
  reg              full_6;
2972
  wire             full_7;
2973
  reg     [  3: 0] how_many_ones;
2974
  wire    [  3: 0] one_count_minus_one;
2975
  wire    [  3: 0] one_count_plus_one;
2976
  wire             p0_full_0;
2977
  wire             p0_stage_0;
2978
  wire             p1_full_1;
2979
  wire             p1_stage_1;
2980
  wire             p2_full_2;
2981
  wire             p2_stage_2;
2982
  wire             p3_full_3;
2983
  wire             p3_stage_3;
2984
  wire             p4_full_4;
2985
  wire             p4_stage_4;
2986
  wire             p5_full_5;
2987
  wire             p5_stage_5;
2988
  wire             p6_full_6;
2989
  wire             p6_stage_6;
2990
  reg              stage_0;
2991
  reg              stage_1;
2992
  reg              stage_2;
2993
  reg              stage_3;
2994
  reg              stage_4;
2995
  reg              stage_5;
2996
  reg              stage_6;
2997
  wire    [  3: 0] updated_one_count;
2998
  assign data_out = stage_0;
2999
  assign full = full_6;
3000
  assign empty = !full_0;
3001
  assign full_7 = 0;
3002
  //data_6, which is an e_mux
3003
  assign p6_stage_6 = ((full_7 & ~clear_fifo) == 0)? data_in :
3004
    data_in;
3005
 
3006
  //data_reg_6, which is an e_register
3007
  always @(posedge clk or negedge reset_n)
3008
    begin
3009
      if (reset_n == 0)
3010
          stage_6 <= 0;
3011
      else if (clear_fifo | sync_reset | read | (write & !full_6))
3012
          if (sync_reset & full_6 & !((full_7 == 0) & read & write))
3013
              stage_6 <= 0;
3014
          else
3015
            stage_6 <= p6_stage_6;
3016
    end
3017
 
3018
 
3019
  //control_6, which is an e_mux
3020
  assign p6_full_6 = ((read & !write) == 0)? full_5 :
3021
    0;
3022
 
3023
  //control_reg_6, which is an e_register
3024
  always @(posedge clk or negedge reset_n)
3025
    begin
3026
      if (reset_n == 0)
3027
          full_6 <= 0;
3028
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3029
          if (clear_fifo)
3030
              full_6 <= 0;
3031
          else
3032
            full_6 <= p6_full_6;
3033
    end
3034
 
3035
 
3036
  //data_5, which is an e_mux
3037
  assign p5_stage_5 = ((full_6 & ~clear_fifo) == 0)? data_in :
3038
    stage_6;
3039
 
3040
  //data_reg_5, which is an e_register
3041
  always @(posedge clk or negedge reset_n)
3042
    begin
3043
      if (reset_n == 0)
3044
          stage_5 <= 0;
3045
      else if (clear_fifo | sync_reset | read | (write & !full_5))
3046
          if (sync_reset & full_5 & !((full_6 == 0) & read & write))
3047
              stage_5 <= 0;
3048
          else
3049
            stage_5 <= p5_stage_5;
3050
    end
3051
 
3052
 
3053
  //control_5, which is an e_mux
3054
  assign p5_full_5 = ((read & !write) == 0)? full_4 :
3055
    full_6;
3056
 
3057
  //control_reg_5, which is an e_register
3058
  always @(posedge clk or negedge reset_n)
3059
    begin
3060
      if (reset_n == 0)
3061
          full_5 <= 0;
3062
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3063
          if (clear_fifo)
3064
              full_5 <= 0;
3065
          else
3066
            full_5 <= p5_full_5;
3067
    end
3068
 
3069
 
3070
  //data_4, which is an e_mux
3071
  assign p4_stage_4 = ((full_5 & ~clear_fifo) == 0)? data_in :
3072
    stage_5;
3073
 
3074
  //data_reg_4, which is an e_register
3075
  always @(posedge clk or negedge reset_n)
3076
    begin
3077
      if (reset_n == 0)
3078
          stage_4 <= 0;
3079
      else if (clear_fifo | sync_reset | read | (write & !full_4))
3080
          if (sync_reset & full_4 & !((full_5 == 0) & read & write))
3081
              stage_4 <= 0;
3082
          else
3083
            stage_4 <= p4_stage_4;
3084
    end
3085
 
3086
 
3087
  //control_4, which is an e_mux
3088
  assign p4_full_4 = ((read & !write) == 0)? full_3 :
3089
    full_5;
3090
 
3091
  //control_reg_4, which is an e_register
3092
  always @(posedge clk or negedge reset_n)
3093
    begin
3094
      if (reset_n == 0)
3095
          full_4 <= 0;
3096
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3097
          if (clear_fifo)
3098
              full_4 <= 0;
3099
          else
3100
            full_4 <= p4_full_4;
3101
    end
3102
 
3103
 
3104
  //data_3, which is an e_mux
3105
  assign p3_stage_3 = ((full_4 & ~clear_fifo) == 0)? data_in :
3106
    stage_4;
3107
 
3108
  //data_reg_3, which is an e_register
3109
  always @(posedge clk or negedge reset_n)
3110
    begin
3111
      if (reset_n == 0)
3112
          stage_3 <= 0;
3113
      else if (clear_fifo | sync_reset | read | (write & !full_3))
3114
          if (sync_reset & full_3 & !((full_4 == 0) & read & write))
3115
              stage_3 <= 0;
3116
          else
3117
            stage_3 <= p3_stage_3;
3118
    end
3119
 
3120
 
3121
  //control_3, which is an e_mux
3122
  assign p3_full_3 = ((read & !write) == 0)? full_2 :
3123
    full_4;
3124
 
3125
  //control_reg_3, which is an e_register
3126
  always @(posedge clk or negedge reset_n)
3127
    begin
3128
      if (reset_n == 0)
3129
          full_3 <= 0;
3130
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3131
          if (clear_fifo)
3132
              full_3 <= 0;
3133
          else
3134
            full_3 <= p3_full_3;
3135
    end
3136
 
3137
 
3138
  //data_2, which is an e_mux
3139
  assign p2_stage_2 = ((full_3 & ~clear_fifo) == 0)? data_in :
3140
    stage_3;
3141
 
3142
  //data_reg_2, which is an e_register
3143
  always @(posedge clk or negedge reset_n)
3144
    begin
3145
      if (reset_n == 0)
3146
          stage_2 <= 0;
3147
      else if (clear_fifo | sync_reset | read | (write & !full_2))
3148
          if (sync_reset & full_2 & !((full_3 == 0) & read & write))
3149
              stage_2 <= 0;
3150
          else
3151
            stage_2 <= p2_stage_2;
3152
    end
3153
 
3154
 
3155
  //control_2, which is an e_mux
3156
  assign p2_full_2 = ((read & !write) == 0)? full_1 :
3157
    full_3;
3158
 
3159
  //control_reg_2, which is an e_register
3160
  always @(posedge clk or negedge reset_n)
3161
    begin
3162
      if (reset_n == 0)
3163
          full_2 <= 0;
3164
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3165
          if (clear_fifo)
3166
              full_2 <= 0;
3167
          else
3168
            full_2 <= p2_full_2;
3169
    end
3170
 
3171
 
3172
  //data_1, which is an e_mux
3173
  assign p1_stage_1 = ((full_2 & ~clear_fifo) == 0)? data_in :
3174
    stage_2;
3175
 
3176
  //data_reg_1, which is an e_register
3177
  always @(posedge clk or negedge reset_n)
3178
    begin
3179
      if (reset_n == 0)
3180
          stage_1 <= 0;
3181
      else if (clear_fifo | sync_reset | read | (write & !full_1))
3182
          if (sync_reset & full_1 & !((full_2 == 0) & read & write))
3183
              stage_1 <= 0;
3184
          else
3185
            stage_1 <= p1_stage_1;
3186
    end
3187
 
3188
 
3189
  //control_1, which is an e_mux
3190
  assign p1_full_1 = ((read & !write) == 0)? full_0 :
3191
    full_2;
3192
 
3193
  //control_reg_1, which is an e_register
3194
  always @(posedge clk or negedge reset_n)
3195
    begin
3196
      if (reset_n == 0)
3197
          full_1 <= 0;
3198
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3199
          if (clear_fifo)
3200
              full_1 <= 0;
3201
          else
3202
            full_1 <= p1_full_1;
3203
    end
3204
 
3205
 
3206
  //data_0, which is an e_mux
3207
  assign p0_stage_0 = ((full_1 & ~clear_fifo) == 0)? data_in :
3208
    stage_1;
3209
 
3210
  //data_reg_0, which is an e_register
3211
  always @(posedge clk or negedge reset_n)
3212
    begin
3213
      if (reset_n == 0)
3214
          stage_0 <= 0;
3215
      else if (clear_fifo | sync_reset | read | (write & !full_0))
3216
          if (sync_reset & full_0 & !((full_1 == 0) & read & write))
3217
              stage_0 <= 0;
3218
          else
3219
            stage_0 <= p0_stage_0;
3220
    end
3221
 
3222
 
3223
  //control_0, which is an e_mux
3224
  assign p0_full_0 = ((read & !write) == 0)? 1 :
3225
    full_1;
3226
 
3227
  //control_reg_0, which is an e_register
3228
  always @(posedge clk or negedge reset_n)
3229
    begin
3230
      if (reset_n == 0)
3231
          full_0 <= 0;
3232
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3233
          if (clear_fifo & ~write)
3234
              full_0 <= 0;
3235
          else
3236
            full_0 <= p0_full_0;
3237
    end
3238
 
3239
 
3240
  assign one_count_plus_one = how_many_ones + 1;
3241
  assign one_count_minus_one = how_many_ones - 1;
3242
  //updated_one_count, which is an e_mux
3243
  assign updated_one_count = ((((clear_fifo | sync_reset) & !write)))? 0 :
3244
    ((((clear_fifo | sync_reset) & write)))? |data_in :
3245
    ((read & (|data_in) & write & (|stage_0)))? how_many_ones :
3246
    ((write & (|data_in)))? one_count_plus_one :
3247
    ((read & (|stage_0)))? one_count_minus_one :
3248
    how_many_ones;
3249
 
3250
  //counts how many ones in the data pipeline, which is an e_register
3251
  always @(posedge clk or negedge reset_n)
3252
    begin
3253
      if (reset_n == 0)
3254
          how_many_ones <= 0;
3255
      else if (clear_fifo | sync_reset | read | write)
3256
          how_many_ones <= updated_one_count;
3257
    end
3258
 
3259
 
3260
  //this fifo contains ones in the data pipeline, which is an e_register
3261
  always @(posedge clk or negedge reset_n)
3262
    begin
3263
      if (reset_n == 0)
3264
          fifo_contains_ones_n <= 1;
3265
      else if (clear_fifo | sync_reset | read | write)
3266
          fifo_contains_ones_n <= ~(|updated_one_count);
3267
    end
3268
 
3269
 
3270
 
3271
endmodule
3272
 
3273
 
3274
// synthesis translate_off
3275
`timescale 1ns / 1ps
3276
// synthesis translate_on
3277
 
3278
// turn off superfluous verilog processor warnings 
3279
// altera message_level Level1 
3280
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
3281
 
3282
module rdv_fifo_for_cpu_1_instruction_master_to_sdram_1_s1_module (
3283
                                                                    // inputs:
3284
                                                                     clear_fifo,
3285
                                                                     clk,
3286
                                                                     data_in,
3287
                                                                     read,
3288
                                                                     reset_n,
3289
                                                                     sync_reset,
3290
                                                                     write,
3291
 
3292
                                                                    // outputs:
3293
                                                                     data_out,
3294
                                                                     empty,
3295
                                                                     fifo_contains_ones_n,
3296
                                                                     full
3297
                                                                  )
3298
;
3299
 
3300
  output           data_out;
3301
  output           empty;
3302
  output           fifo_contains_ones_n;
3303
  output           full;
3304
  input            clear_fifo;
3305
  input            clk;
3306
  input            data_in;
3307
  input            read;
3308
  input            reset_n;
3309
  input            sync_reset;
3310
  input            write;
3311
 
3312
  wire             data_out;
3313
  wire             empty;
3314
  reg              fifo_contains_ones_n;
3315
  wire             full;
3316
  reg              full_0;
3317
  reg              full_1;
3318
  reg              full_2;
3319
  reg              full_3;
3320
  reg              full_4;
3321
  reg              full_5;
3322
  reg              full_6;
3323
  wire             full_7;
3324
  reg     [  3: 0] how_many_ones;
3325
  wire    [  3: 0] one_count_minus_one;
3326
  wire    [  3: 0] one_count_plus_one;
3327
  wire             p0_full_0;
3328
  wire             p0_stage_0;
3329
  wire             p1_full_1;
3330
  wire             p1_stage_1;
3331
  wire             p2_full_2;
3332
  wire             p2_stage_2;
3333
  wire             p3_full_3;
3334
  wire             p3_stage_3;
3335
  wire             p4_full_4;
3336
  wire             p4_stage_4;
3337
  wire             p5_full_5;
3338
  wire             p5_stage_5;
3339
  wire             p6_full_6;
3340
  wire             p6_stage_6;
3341
  reg              stage_0;
3342
  reg              stage_1;
3343
  reg              stage_2;
3344
  reg              stage_3;
3345
  reg              stage_4;
3346
  reg              stage_5;
3347
  reg              stage_6;
3348
  wire    [  3: 0] updated_one_count;
3349
  assign data_out = stage_0;
3350
  assign full = full_6;
3351
  assign empty = !full_0;
3352
  assign full_7 = 0;
3353
  //data_6, which is an e_mux
3354
  assign p6_stage_6 = ((full_7 & ~clear_fifo) == 0)? data_in :
3355
    data_in;
3356
 
3357
  //data_reg_6, which is an e_register
3358
  always @(posedge clk or negedge reset_n)
3359
    begin
3360
      if (reset_n == 0)
3361
          stage_6 <= 0;
3362
      else if (clear_fifo | sync_reset | read | (write & !full_6))
3363
          if (sync_reset & full_6 & !((full_7 == 0) & read & write))
3364
              stage_6 <= 0;
3365
          else
3366
            stage_6 <= p6_stage_6;
3367
    end
3368
 
3369
 
3370
  //control_6, which is an e_mux
3371
  assign p6_full_6 = ((read & !write) == 0)? full_5 :
3372
    0;
3373
 
3374
  //control_reg_6, which is an e_register
3375
  always @(posedge clk or negedge reset_n)
3376
    begin
3377
      if (reset_n == 0)
3378
          full_6 <= 0;
3379
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3380
          if (clear_fifo)
3381
              full_6 <= 0;
3382
          else
3383
            full_6 <= p6_full_6;
3384
    end
3385
 
3386
 
3387
  //data_5, which is an e_mux
3388
  assign p5_stage_5 = ((full_6 & ~clear_fifo) == 0)? data_in :
3389
    stage_6;
3390
 
3391
  //data_reg_5, which is an e_register
3392
  always @(posedge clk or negedge reset_n)
3393
    begin
3394
      if (reset_n == 0)
3395
          stage_5 <= 0;
3396
      else if (clear_fifo | sync_reset | read | (write & !full_5))
3397
          if (sync_reset & full_5 & !((full_6 == 0) & read & write))
3398
              stage_5 <= 0;
3399
          else
3400
            stage_5 <= p5_stage_5;
3401
    end
3402
 
3403
 
3404
  //control_5, which is an e_mux
3405
  assign p5_full_5 = ((read & !write) == 0)? full_4 :
3406
    full_6;
3407
 
3408
  //control_reg_5, which is an e_register
3409
  always @(posedge clk or negedge reset_n)
3410
    begin
3411
      if (reset_n == 0)
3412
          full_5 <= 0;
3413
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3414
          if (clear_fifo)
3415
              full_5 <= 0;
3416
          else
3417
            full_5 <= p5_full_5;
3418
    end
3419
 
3420
 
3421
  //data_4, which is an e_mux
3422
  assign p4_stage_4 = ((full_5 & ~clear_fifo) == 0)? data_in :
3423
    stage_5;
3424
 
3425
  //data_reg_4, which is an e_register
3426
  always @(posedge clk or negedge reset_n)
3427
    begin
3428
      if (reset_n == 0)
3429
          stage_4 <= 0;
3430
      else if (clear_fifo | sync_reset | read | (write & !full_4))
3431
          if (sync_reset & full_4 & !((full_5 == 0) & read & write))
3432
              stage_4 <= 0;
3433
          else
3434
            stage_4 <= p4_stage_4;
3435
    end
3436
 
3437
 
3438
  //control_4, which is an e_mux
3439
  assign p4_full_4 = ((read & !write) == 0)? full_3 :
3440
    full_5;
3441
 
3442
  //control_reg_4, which is an e_register
3443
  always @(posedge clk or negedge reset_n)
3444
    begin
3445
      if (reset_n == 0)
3446
          full_4 <= 0;
3447
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3448
          if (clear_fifo)
3449
              full_4 <= 0;
3450
          else
3451
            full_4 <= p4_full_4;
3452
    end
3453
 
3454
 
3455
  //data_3, which is an e_mux
3456
  assign p3_stage_3 = ((full_4 & ~clear_fifo) == 0)? data_in :
3457
    stage_4;
3458
 
3459
  //data_reg_3, which is an e_register
3460
  always @(posedge clk or negedge reset_n)
3461
    begin
3462
      if (reset_n == 0)
3463
          stage_3 <= 0;
3464
      else if (clear_fifo | sync_reset | read | (write & !full_3))
3465
          if (sync_reset & full_3 & !((full_4 == 0) & read & write))
3466
              stage_3 <= 0;
3467
          else
3468
            stage_3 <= p3_stage_3;
3469
    end
3470
 
3471
 
3472
  //control_3, which is an e_mux
3473
  assign p3_full_3 = ((read & !write) == 0)? full_2 :
3474
    full_4;
3475
 
3476
  //control_reg_3, which is an e_register
3477
  always @(posedge clk or negedge reset_n)
3478
    begin
3479
      if (reset_n == 0)
3480
          full_3 <= 0;
3481
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3482
          if (clear_fifo)
3483
              full_3 <= 0;
3484
          else
3485
            full_3 <= p3_full_3;
3486
    end
3487
 
3488
 
3489
  //data_2, which is an e_mux
3490
  assign p2_stage_2 = ((full_3 & ~clear_fifo) == 0)? data_in :
3491
    stage_3;
3492
 
3493
  //data_reg_2, which is an e_register
3494
  always @(posedge clk or negedge reset_n)
3495
    begin
3496
      if (reset_n == 0)
3497
          stage_2 <= 0;
3498
      else if (clear_fifo | sync_reset | read | (write & !full_2))
3499
          if (sync_reset & full_2 & !((full_3 == 0) & read & write))
3500
              stage_2 <= 0;
3501
          else
3502
            stage_2 <= p2_stage_2;
3503
    end
3504
 
3505
 
3506
  //control_2, which is an e_mux
3507
  assign p2_full_2 = ((read & !write) == 0)? full_1 :
3508
    full_3;
3509
 
3510
  //control_reg_2, which is an e_register
3511
  always @(posedge clk or negedge reset_n)
3512
    begin
3513
      if (reset_n == 0)
3514
          full_2 <= 0;
3515
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3516
          if (clear_fifo)
3517
              full_2 <= 0;
3518
          else
3519
            full_2 <= p2_full_2;
3520
    end
3521
 
3522
 
3523
  //data_1, which is an e_mux
3524
  assign p1_stage_1 = ((full_2 & ~clear_fifo) == 0)? data_in :
3525
    stage_2;
3526
 
3527
  //data_reg_1, which is an e_register
3528
  always @(posedge clk or negedge reset_n)
3529
    begin
3530
      if (reset_n == 0)
3531
          stage_1 <= 0;
3532
      else if (clear_fifo | sync_reset | read | (write & !full_1))
3533
          if (sync_reset & full_1 & !((full_2 == 0) & read & write))
3534
              stage_1 <= 0;
3535
          else
3536
            stage_1 <= p1_stage_1;
3537
    end
3538
 
3539
 
3540
  //control_1, which is an e_mux
3541
  assign p1_full_1 = ((read & !write) == 0)? full_0 :
3542
    full_2;
3543
 
3544
  //control_reg_1, which is an e_register
3545
  always @(posedge clk or negedge reset_n)
3546
    begin
3547
      if (reset_n == 0)
3548
          full_1 <= 0;
3549
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3550
          if (clear_fifo)
3551
              full_1 <= 0;
3552
          else
3553
            full_1 <= p1_full_1;
3554
    end
3555
 
3556
 
3557
  //data_0, which is an e_mux
3558
  assign p0_stage_0 = ((full_1 & ~clear_fifo) == 0)? data_in :
3559
    stage_1;
3560
 
3561
  //data_reg_0, which is an e_register
3562
  always @(posedge clk or negedge reset_n)
3563
    begin
3564
      if (reset_n == 0)
3565
          stage_0 <= 0;
3566
      else if (clear_fifo | sync_reset | read | (write & !full_0))
3567
          if (sync_reset & full_0 & !((full_1 == 0) & read & write))
3568
              stage_0 <= 0;
3569
          else
3570
            stage_0 <= p0_stage_0;
3571
    end
3572
 
3573
 
3574
  //control_0, which is an e_mux
3575
  assign p0_full_0 = ((read & !write) == 0)? 1 :
3576
    full_1;
3577
 
3578
  //control_reg_0, which is an e_register
3579
  always @(posedge clk or negedge reset_n)
3580
    begin
3581
      if (reset_n == 0)
3582
          full_0 <= 0;
3583
      else if (clear_fifo | (read ^ write) | (write & !full_0))
3584
          if (clear_fifo & ~write)
3585
              full_0 <= 0;
3586
          else
3587
            full_0 <= p0_full_0;
3588
    end
3589
 
3590
 
3591
  assign one_count_plus_one = how_many_ones + 1;
3592
  assign one_count_minus_one = how_many_ones - 1;
3593
  //updated_one_count, which is an e_mux
3594
  assign updated_one_count = ((((clear_fifo | sync_reset) & !write)))? 0 :
3595
    ((((clear_fifo | sync_reset) & write)))? |data_in :
3596
    ((read & (|data_in) & write & (|stage_0)))? how_many_ones :
3597
    ((write & (|data_in)))? one_count_plus_one :
3598
    ((read & (|stage_0)))? one_count_minus_one :
3599
    how_many_ones;
3600
 
3601
  //counts how many ones in the data pipeline, which is an e_register
3602
  always @(posedge clk or negedge reset_n)
3603
    begin
3604
      if (reset_n == 0)
3605
          how_many_ones <= 0;
3606
      else if (clear_fifo | sync_reset | read | write)
3607
          how_many_ones <= updated_one_count;
3608
    end
3609
 
3610
 
3611
  //this fifo contains ones in the data pipeline, which is an e_register
3612
  always @(posedge clk or negedge reset_n)
3613
    begin
3614
      if (reset_n == 0)
3615
          fifo_contains_ones_n <= 1;
3616
      else if (clear_fifo | sync_reset | read | write)
3617
          fifo_contains_ones_n <= ~(|updated_one_count);
3618
    end
3619
 
3620
 
3621
 
3622
endmodule
3623
 
3624
 
3625
// synthesis translate_off
3626
`timescale 1ns / 1ps
3627
// synthesis translate_on
3628
 
3629
// turn off superfluous verilog processor warnings 
3630
// altera message_level Level1 
3631
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
3632
 
3633
module sdram_1_s1_arbitrator (
3634
                               // inputs:
3635
                                clk,
3636
                                cpu_1_data_master_address_to_slave,
3637
                                cpu_1_data_master_byteenable,
3638
                                cpu_1_data_master_dbs_address,
3639
                                cpu_1_data_master_dbs_write_16,
3640
                                cpu_1_data_master_latency_counter,
3641
                                cpu_1_data_master_read,
3642
                                cpu_1_data_master_write,
3643
                                cpu_1_instruction_master_address_to_slave,
3644
                                cpu_1_instruction_master_dbs_address,
3645
                                cpu_1_instruction_master_latency_counter,
3646
                                cpu_1_instruction_master_read,
3647
                                reset_n,
3648
                                sdram_1_s1_readdata,
3649
                                sdram_1_s1_readdatavalid,
3650
                                sdram_1_s1_waitrequest,
3651
 
3652
                               // outputs:
3653
                                cpu_1_data_master_byteenable_sdram_1_s1,
3654
                                cpu_1_data_master_granted_sdram_1_s1,
3655
                                cpu_1_data_master_qualified_request_sdram_1_s1,
3656
                                cpu_1_data_master_read_data_valid_sdram_1_s1,
3657
                                cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
3658
                                cpu_1_data_master_requests_sdram_1_s1,
3659
                                cpu_1_instruction_master_granted_sdram_1_s1,
3660
                                cpu_1_instruction_master_qualified_request_sdram_1_s1,
3661
                                cpu_1_instruction_master_read_data_valid_sdram_1_s1,
3662
                                cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register,
3663
                                cpu_1_instruction_master_requests_sdram_1_s1,
3664
                                d1_sdram_1_s1_end_xfer,
3665
                                sdram_1_s1_address,
3666
                                sdram_1_s1_byteenable_n,
3667
                                sdram_1_s1_chipselect,
3668
                                sdram_1_s1_read_n,
3669
                                sdram_1_s1_readdata_from_sa,
3670
                                sdram_1_s1_reset_n,
3671
                                sdram_1_s1_waitrequest_from_sa,
3672
                                sdram_1_s1_write_n,
3673
                                sdram_1_s1_writedata
3674
                             )
3675
;
3676
 
3677
  output  [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1;
3678
  output           cpu_1_data_master_granted_sdram_1_s1;
3679
  output           cpu_1_data_master_qualified_request_sdram_1_s1;
3680
  output           cpu_1_data_master_read_data_valid_sdram_1_s1;
3681
  output           cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
3682
  output           cpu_1_data_master_requests_sdram_1_s1;
3683
  output           cpu_1_instruction_master_granted_sdram_1_s1;
3684
  output           cpu_1_instruction_master_qualified_request_sdram_1_s1;
3685
  output           cpu_1_instruction_master_read_data_valid_sdram_1_s1;
3686
  output           cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register;
3687
  output           cpu_1_instruction_master_requests_sdram_1_s1;
3688
  output           d1_sdram_1_s1_end_xfer;
3689
  output  [ 21: 0] sdram_1_s1_address;
3690
  output  [  1: 0] sdram_1_s1_byteenable_n;
3691
  output           sdram_1_s1_chipselect;
3692
  output           sdram_1_s1_read_n;
3693
  output  [ 15: 0] sdram_1_s1_readdata_from_sa;
3694
  output           sdram_1_s1_reset_n;
3695
  output           sdram_1_s1_waitrequest_from_sa;
3696
  output           sdram_1_s1_write_n;
3697
  output  [ 15: 0] sdram_1_s1_writedata;
3698
  input            clk;
3699
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
3700
  input   [  3: 0] cpu_1_data_master_byteenable;
3701
  input   [  1: 0] cpu_1_data_master_dbs_address;
3702
  input   [ 15: 0] cpu_1_data_master_dbs_write_16;
3703
  input            cpu_1_data_master_latency_counter;
3704
  input            cpu_1_data_master_read;
3705
  input            cpu_1_data_master_write;
3706
  input   [ 24: 0] cpu_1_instruction_master_address_to_slave;
3707
  input   [  1: 0] cpu_1_instruction_master_dbs_address;
3708
  input            cpu_1_instruction_master_latency_counter;
3709
  input            cpu_1_instruction_master_read;
3710
  input            reset_n;
3711
  input   [ 15: 0] sdram_1_s1_readdata;
3712
  input            sdram_1_s1_readdatavalid;
3713
  input            sdram_1_s1_waitrequest;
3714
 
3715
  wire             cpu_1_data_master_arbiterlock;
3716
  wire             cpu_1_data_master_arbiterlock2;
3717
  wire    [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1;
3718
  wire    [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1_segment_0;
3719
  wire    [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1_segment_1;
3720
  wire             cpu_1_data_master_continuerequest;
3721
  wire             cpu_1_data_master_granted_sdram_1_s1;
3722
  wire             cpu_1_data_master_qualified_request_sdram_1_s1;
3723
  wire             cpu_1_data_master_rdv_fifo_empty_sdram_1_s1;
3724
  wire             cpu_1_data_master_rdv_fifo_output_from_sdram_1_s1;
3725
  wire             cpu_1_data_master_read_data_valid_sdram_1_s1;
3726
  wire             cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
3727
  wire             cpu_1_data_master_requests_sdram_1_s1;
3728
  wire             cpu_1_data_master_saved_grant_sdram_1_s1;
3729
  wire             cpu_1_instruction_master_arbiterlock;
3730
  wire             cpu_1_instruction_master_arbiterlock2;
3731
  wire             cpu_1_instruction_master_continuerequest;
3732
  wire             cpu_1_instruction_master_granted_sdram_1_s1;
3733
  wire             cpu_1_instruction_master_qualified_request_sdram_1_s1;
3734
  wire             cpu_1_instruction_master_rdv_fifo_empty_sdram_1_s1;
3735
  wire             cpu_1_instruction_master_rdv_fifo_output_from_sdram_1_s1;
3736
  wire             cpu_1_instruction_master_read_data_valid_sdram_1_s1;
3737
  wire             cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register;
3738
  wire             cpu_1_instruction_master_requests_sdram_1_s1;
3739
  wire             cpu_1_instruction_master_saved_grant_sdram_1_s1;
3740
  reg              d1_reasons_to_wait;
3741
  reg              d1_sdram_1_s1_end_xfer;
3742
  reg              enable_nonzero_assertions;
3743
  wire             end_xfer_arb_share_counter_term_sdram_1_s1;
3744
  wire             in_a_read_cycle;
3745
  wire             in_a_write_cycle;
3746
  reg              last_cycle_cpu_1_data_master_granted_slave_sdram_1_s1;
3747
  reg              last_cycle_cpu_1_instruction_master_granted_slave_sdram_1_s1;
3748
  wire    [ 21: 0] sdram_1_s1_address;
3749
  wire             sdram_1_s1_allgrants;
3750
  wire             sdram_1_s1_allow_new_arb_cycle;
3751
  wire             sdram_1_s1_any_bursting_master_saved_grant;
3752
  wire             sdram_1_s1_any_continuerequest;
3753
  reg     [  1: 0] sdram_1_s1_arb_addend;
3754
  wire             sdram_1_s1_arb_counter_enable;
3755
  reg     [  1: 0] sdram_1_s1_arb_share_counter;
3756
  wire    [  1: 0] sdram_1_s1_arb_share_counter_next_value;
3757
  wire    [  1: 0] sdram_1_s1_arb_share_set_values;
3758
  wire    [  1: 0] sdram_1_s1_arb_winner;
3759
  wire             sdram_1_s1_arbitration_holdoff_internal;
3760
  wire             sdram_1_s1_beginbursttransfer_internal;
3761
  wire             sdram_1_s1_begins_xfer;
3762
  wire    [  1: 0] sdram_1_s1_byteenable_n;
3763
  wire             sdram_1_s1_chipselect;
3764
  wire    [  3: 0] sdram_1_s1_chosen_master_double_vector;
3765
  wire    [  1: 0] sdram_1_s1_chosen_master_rot_left;
3766
  wire             sdram_1_s1_end_xfer;
3767
  wire             sdram_1_s1_firsttransfer;
3768
  wire    [  1: 0] sdram_1_s1_grant_vector;
3769
  wire             sdram_1_s1_in_a_read_cycle;
3770
  wire             sdram_1_s1_in_a_write_cycle;
3771
  wire    [  1: 0] sdram_1_s1_master_qreq_vector;
3772
  wire             sdram_1_s1_move_on_to_next_transaction;
3773
  wire             sdram_1_s1_non_bursting_master_requests;
3774
  wire             sdram_1_s1_read_n;
3775
  wire    [ 15: 0] sdram_1_s1_readdata_from_sa;
3776
  wire             sdram_1_s1_readdatavalid_from_sa;
3777
  reg              sdram_1_s1_reg_firsttransfer;
3778
  wire             sdram_1_s1_reset_n;
3779
  reg     [  1: 0] sdram_1_s1_saved_chosen_master_vector;
3780
  reg              sdram_1_s1_slavearbiterlockenable;
3781
  wire             sdram_1_s1_slavearbiterlockenable2;
3782
  wire             sdram_1_s1_unreg_firsttransfer;
3783
  wire             sdram_1_s1_waitrequest_from_sa;
3784
  wire             sdram_1_s1_waits_for_read;
3785
  wire             sdram_1_s1_waits_for_write;
3786
  wire             sdram_1_s1_write_n;
3787
  wire    [ 15: 0] sdram_1_s1_writedata;
3788
  wire    [ 24: 0] shifted_address_to_sdram_1_s1_from_cpu_1_data_master;
3789
  wire    [ 24: 0] shifted_address_to_sdram_1_s1_from_cpu_1_instruction_master;
3790
  wire             wait_for_sdram_1_s1_counter;
3791
  always @(posedge clk or negedge reset_n)
3792
    begin
3793
      if (reset_n == 0)
3794
          d1_reasons_to_wait <= 0;
3795
      else
3796
        d1_reasons_to_wait <= ~sdram_1_s1_end_xfer;
3797
    end
3798
 
3799
 
3800
  assign sdram_1_s1_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_sdram_1_s1 | cpu_1_instruction_master_qualified_request_sdram_1_s1));
3801
  //assign sdram_1_s1_readdatavalid_from_sa = sdram_1_s1_readdatavalid so that symbol knows where to group signals which may go to master only, which is an e_assign
3802
  assign sdram_1_s1_readdatavalid_from_sa = sdram_1_s1_readdatavalid;
3803
 
3804
  //assign sdram_1_s1_readdata_from_sa = sdram_1_s1_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
3805
  assign sdram_1_s1_readdata_from_sa = sdram_1_s1_readdata;
3806
 
3807
  assign cpu_1_data_master_requests_sdram_1_s1 = ({cpu_1_data_master_address_to_slave[24 : 23] , 23'b0} == 25'h800000) & (cpu_1_data_master_read | cpu_1_data_master_write);
3808
  //assign sdram_1_s1_waitrequest_from_sa = sdram_1_s1_waitrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
3809
  assign sdram_1_s1_waitrequest_from_sa = sdram_1_s1_waitrequest;
3810
 
3811
  //sdram_1_s1_arb_share_counter set values, which is an e_mux
3812
  assign sdram_1_s1_arb_share_set_values = (cpu_1_data_master_granted_sdram_1_s1)? 2 :
3813
    (cpu_1_instruction_master_granted_sdram_1_s1)? 2 :
3814
    (cpu_1_data_master_granted_sdram_1_s1)? 2 :
3815
    (cpu_1_instruction_master_granted_sdram_1_s1)? 2 :
3816
    1;
3817
 
3818
  //sdram_1_s1_non_bursting_master_requests mux, which is an e_mux
3819
  assign sdram_1_s1_non_bursting_master_requests = cpu_1_data_master_requests_sdram_1_s1 |
3820
    cpu_1_instruction_master_requests_sdram_1_s1 |
3821
    cpu_1_data_master_requests_sdram_1_s1 |
3822
    cpu_1_instruction_master_requests_sdram_1_s1;
3823
 
3824
  //sdram_1_s1_any_bursting_master_saved_grant mux, which is an e_mux
3825
  assign sdram_1_s1_any_bursting_master_saved_grant = 0;
3826
 
3827
  //sdram_1_s1_arb_share_counter_next_value assignment, which is an e_assign
3828
  assign sdram_1_s1_arb_share_counter_next_value = sdram_1_s1_firsttransfer ? (sdram_1_s1_arb_share_set_values - 1) : |sdram_1_s1_arb_share_counter ? (sdram_1_s1_arb_share_counter - 1) : 0;
3829
 
3830
  //sdram_1_s1_allgrants all slave grants, which is an e_mux
3831
  assign sdram_1_s1_allgrants = (|sdram_1_s1_grant_vector) |
3832
    (|sdram_1_s1_grant_vector) |
3833
    (|sdram_1_s1_grant_vector) |
3834
    (|sdram_1_s1_grant_vector);
3835
 
3836
  //sdram_1_s1_end_xfer assignment, which is an e_assign
3837
  assign sdram_1_s1_end_xfer = ~(sdram_1_s1_waits_for_read | sdram_1_s1_waits_for_write);
3838
 
3839
  //end_xfer_arb_share_counter_term_sdram_1_s1 arb share counter enable term, which is an e_assign
3840
  assign end_xfer_arb_share_counter_term_sdram_1_s1 = sdram_1_s1_end_xfer & (~sdram_1_s1_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
3841
 
3842
  //sdram_1_s1_arb_share_counter arbitration counter enable, which is an e_assign
3843
  assign sdram_1_s1_arb_counter_enable = (end_xfer_arb_share_counter_term_sdram_1_s1 & sdram_1_s1_allgrants) | (end_xfer_arb_share_counter_term_sdram_1_s1 & ~sdram_1_s1_non_bursting_master_requests);
3844
 
3845
  //sdram_1_s1_arb_share_counter counter, which is an e_register
3846
  always @(posedge clk or negedge reset_n)
3847
    begin
3848
      if (reset_n == 0)
3849
          sdram_1_s1_arb_share_counter <= 0;
3850
      else if (sdram_1_s1_arb_counter_enable)
3851
          sdram_1_s1_arb_share_counter <= sdram_1_s1_arb_share_counter_next_value;
3852
    end
3853
 
3854
 
3855
  //sdram_1_s1_slavearbiterlockenable slave enables arbiterlock, which is an e_register
3856
  always @(posedge clk or negedge reset_n)
3857
    begin
3858
      if (reset_n == 0)
3859
          sdram_1_s1_slavearbiterlockenable <= 0;
3860
      else if ((|sdram_1_s1_master_qreq_vector & end_xfer_arb_share_counter_term_sdram_1_s1) | (end_xfer_arb_share_counter_term_sdram_1_s1 & ~sdram_1_s1_non_bursting_master_requests))
3861
          sdram_1_s1_slavearbiterlockenable <= |sdram_1_s1_arb_share_counter_next_value;
3862
    end
3863
 
3864
 
3865
  //cpu_1/data_master sdram_1/s1 arbiterlock, which is an e_assign
3866
  assign cpu_1_data_master_arbiterlock = sdram_1_s1_slavearbiterlockenable & cpu_1_data_master_continuerequest;
3867
 
3868
  //sdram_1_s1_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
3869
  assign sdram_1_s1_slavearbiterlockenable2 = |sdram_1_s1_arb_share_counter_next_value;
3870
 
3871
  //cpu_1/data_master sdram_1/s1 arbiterlock2, which is an e_assign
3872
  assign cpu_1_data_master_arbiterlock2 = sdram_1_s1_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
3873
 
3874
  //cpu_1/instruction_master sdram_1/s1 arbiterlock, which is an e_assign
3875
  assign cpu_1_instruction_master_arbiterlock = sdram_1_s1_slavearbiterlockenable & cpu_1_instruction_master_continuerequest;
3876
 
3877
  //cpu_1/instruction_master sdram_1/s1 arbiterlock2, which is an e_assign
3878
  assign cpu_1_instruction_master_arbiterlock2 = sdram_1_s1_slavearbiterlockenable2 & cpu_1_instruction_master_continuerequest;
3879
 
3880
  //cpu_1/instruction_master granted sdram_1/s1 last time, which is an e_register
3881
  always @(posedge clk or negedge reset_n)
3882
    begin
3883
      if (reset_n == 0)
3884
          last_cycle_cpu_1_instruction_master_granted_slave_sdram_1_s1 <= 0;
3885
      else
3886
        last_cycle_cpu_1_instruction_master_granted_slave_sdram_1_s1 <= cpu_1_instruction_master_saved_grant_sdram_1_s1 ? 1 : (sdram_1_s1_arbitration_holdoff_internal | ~cpu_1_instruction_master_requests_sdram_1_s1) ? 0 : last_cycle_cpu_1_instruction_master_granted_slave_sdram_1_s1;
3887
    end
3888
 
3889
 
3890
  //cpu_1_instruction_master_continuerequest continued request, which is an e_mux
3891
  assign cpu_1_instruction_master_continuerequest = last_cycle_cpu_1_instruction_master_granted_slave_sdram_1_s1 & cpu_1_instruction_master_requests_sdram_1_s1;
3892
 
3893
  //sdram_1_s1_any_continuerequest at least one master continues requesting, which is an e_mux
3894
  assign sdram_1_s1_any_continuerequest = cpu_1_instruction_master_continuerequest |
3895
    cpu_1_data_master_continuerequest;
3896
 
3897
  assign cpu_1_data_master_qualified_request_sdram_1_s1 = cpu_1_data_master_requests_sdram_1_s1 & ~((cpu_1_data_master_read & ((cpu_1_data_master_latency_counter != 0) | (1 < cpu_1_data_master_latency_counter))) | ((!cpu_1_data_master_byteenable_sdram_1_s1) & cpu_1_data_master_write) | cpu_1_instruction_master_arbiterlock);
3898
  //unique name for sdram_1_s1_move_on_to_next_transaction, which is an e_assign
3899
  assign sdram_1_s1_move_on_to_next_transaction = sdram_1_s1_readdatavalid_from_sa;
3900
 
3901
  //rdv_fifo_for_cpu_1_data_master_to_sdram_1_s1, which is an e_fifo_with_registered_outputs
3902
  rdv_fifo_for_cpu_1_data_master_to_sdram_1_s1_module rdv_fifo_for_cpu_1_data_master_to_sdram_1_s1
3903
    (
3904
      .clear_fifo           (1'b0),
3905
      .clk                  (clk),
3906
      .data_in              (cpu_1_data_master_granted_sdram_1_s1),
3907
      .data_out             (cpu_1_data_master_rdv_fifo_output_from_sdram_1_s1),
3908
      .empty                (),
3909
      .fifo_contains_ones_n (cpu_1_data_master_rdv_fifo_empty_sdram_1_s1),
3910
      .full                 (),
3911
      .read                 (sdram_1_s1_move_on_to_next_transaction),
3912
      .reset_n              (reset_n),
3913
      .sync_reset           (1'b0),
3914
      .write                (in_a_read_cycle & ~sdram_1_s1_waits_for_read)
3915
    );
3916
 
3917
  assign cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register = ~cpu_1_data_master_rdv_fifo_empty_sdram_1_s1;
3918
  //local readdatavalid cpu_1_data_master_read_data_valid_sdram_1_s1, which is an e_mux
3919
  assign cpu_1_data_master_read_data_valid_sdram_1_s1 = (sdram_1_s1_readdatavalid_from_sa & cpu_1_data_master_rdv_fifo_output_from_sdram_1_s1) & ~ cpu_1_data_master_rdv_fifo_empty_sdram_1_s1;
3920
 
3921
  //sdram_1_s1_writedata mux, which is an e_mux
3922
  assign sdram_1_s1_writedata = cpu_1_data_master_dbs_write_16;
3923
 
3924
  assign cpu_1_instruction_master_requests_sdram_1_s1 = (({cpu_1_instruction_master_address_to_slave[24 : 23] , 23'b0} == 25'h800000) & (cpu_1_instruction_master_read)) & cpu_1_instruction_master_read;
3925
  //cpu_1/data_master granted sdram_1/s1 last time, which is an e_register
3926
  always @(posedge clk or negedge reset_n)
3927
    begin
3928
      if (reset_n == 0)
3929
          last_cycle_cpu_1_data_master_granted_slave_sdram_1_s1 <= 0;
3930
      else
3931
        last_cycle_cpu_1_data_master_granted_slave_sdram_1_s1 <= cpu_1_data_master_saved_grant_sdram_1_s1 ? 1 : (sdram_1_s1_arbitration_holdoff_internal | ~cpu_1_data_master_requests_sdram_1_s1) ? 0 : last_cycle_cpu_1_data_master_granted_slave_sdram_1_s1;
3932
    end
3933
 
3934
 
3935
  //cpu_1_data_master_continuerequest continued request, which is an e_mux
3936
  assign cpu_1_data_master_continuerequest = last_cycle_cpu_1_data_master_granted_slave_sdram_1_s1 & cpu_1_data_master_requests_sdram_1_s1;
3937
 
3938
  assign cpu_1_instruction_master_qualified_request_sdram_1_s1 = cpu_1_instruction_master_requests_sdram_1_s1 & ~((cpu_1_instruction_master_read & ((cpu_1_instruction_master_latency_counter != 0) | (1 < cpu_1_instruction_master_latency_counter))) | cpu_1_data_master_arbiterlock);
3939
  //rdv_fifo_for_cpu_1_instruction_master_to_sdram_1_s1, which is an e_fifo_with_registered_outputs
3940
  rdv_fifo_for_cpu_1_instruction_master_to_sdram_1_s1_module rdv_fifo_for_cpu_1_instruction_master_to_sdram_1_s1
3941
    (
3942
      .clear_fifo           (1'b0),
3943
      .clk                  (clk),
3944
      .data_in              (cpu_1_instruction_master_granted_sdram_1_s1),
3945
      .data_out             (cpu_1_instruction_master_rdv_fifo_output_from_sdram_1_s1),
3946
      .empty                (),
3947
      .fifo_contains_ones_n (cpu_1_instruction_master_rdv_fifo_empty_sdram_1_s1),
3948
      .full                 (),
3949
      .read                 (sdram_1_s1_move_on_to_next_transaction),
3950
      .reset_n              (reset_n),
3951
      .sync_reset           (1'b0),
3952
      .write                (in_a_read_cycle & ~sdram_1_s1_waits_for_read)
3953
    );
3954
 
3955
  assign cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register = ~cpu_1_instruction_master_rdv_fifo_empty_sdram_1_s1;
3956
  //local readdatavalid cpu_1_instruction_master_read_data_valid_sdram_1_s1, which is an e_mux
3957
  assign cpu_1_instruction_master_read_data_valid_sdram_1_s1 = (sdram_1_s1_readdatavalid_from_sa & cpu_1_instruction_master_rdv_fifo_output_from_sdram_1_s1) & ~ cpu_1_instruction_master_rdv_fifo_empty_sdram_1_s1;
3958
 
3959
  //allow new arb cycle for sdram_1/s1, which is an e_assign
3960
  assign sdram_1_s1_allow_new_arb_cycle = ~cpu_1_data_master_arbiterlock & ~cpu_1_instruction_master_arbiterlock;
3961
 
3962
  //cpu_1/instruction_master assignment into master qualified-requests vector for sdram_1/s1, which is an e_assign
3963
  assign sdram_1_s1_master_qreq_vector[0] = cpu_1_instruction_master_qualified_request_sdram_1_s1;
3964
 
3965
  //cpu_1/instruction_master grant sdram_1/s1, which is an e_assign
3966
  assign cpu_1_instruction_master_granted_sdram_1_s1 = sdram_1_s1_grant_vector[0];
3967
 
3968
  //cpu_1/instruction_master saved-grant sdram_1/s1, which is an e_assign
3969
  assign cpu_1_instruction_master_saved_grant_sdram_1_s1 = sdram_1_s1_arb_winner[0] && cpu_1_instruction_master_requests_sdram_1_s1;
3970
 
3971
  //cpu_1/data_master assignment into master qualified-requests vector for sdram_1/s1, which is an e_assign
3972
  assign sdram_1_s1_master_qreq_vector[1] = cpu_1_data_master_qualified_request_sdram_1_s1;
3973
 
3974
  //cpu_1/data_master grant sdram_1/s1, which is an e_assign
3975
  assign cpu_1_data_master_granted_sdram_1_s1 = sdram_1_s1_grant_vector[1];
3976
 
3977
  //cpu_1/data_master saved-grant sdram_1/s1, which is an e_assign
3978
  assign cpu_1_data_master_saved_grant_sdram_1_s1 = sdram_1_s1_arb_winner[1] && cpu_1_data_master_requests_sdram_1_s1;
3979
 
3980
  //sdram_1/s1 chosen-master double-vector, which is an e_assign
3981
  assign sdram_1_s1_chosen_master_double_vector = {sdram_1_s1_master_qreq_vector, sdram_1_s1_master_qreq_vector} & ({~sdram_1_s1_master_qreq_vector, ~sdram_1_s1_master_qreq_vector} + sdram_1_s1_arb_addend);
3982
 
3983
  //stable onehot encoding of arb winner
3984
  assign sdram_1_s1_arb_winner = (sdram_1_s1_allow_new_arb_cycle & | sdram_1_s1_grant_vector) ? sdram_1_s1_grant_vector : sdram_1_s1_saved_chosen_master_vector;
3985
 
3986
  //saved sdram_1_s1_grant_vector, which is an e_register
3987
  always @(posedge clk or negedge reset_n)
3988
    begin
3989
      if (reset_n == 0)
3990
          sdram_1_s1_saved_chosen_master_vector <= 0;
3991
      else if (sdram_1_s1_allow_new_arb_cycle)
3992
          sdram_1_s1_saved_chosen_master_vector <= |sdram_1_s1_grant_vector ? sdram_1_s1_grant_vector : sdram_1_s1_saved_chosen_master_vector;
3993
    end
3994
 
3995
 
3996
  //onehot encoding of chosen master
3997
  assign sdram_1_s1_grant_vector = {(sdram_1_s1_chosen_master_double_vector[1] | sdram_1_s1_chosen_master_double_vector[3]),
3998
    (sdram_1_s1_chosen_master_double_vector[0] | sdram_1_s1_chosen_master_double_vector[2])};
3999
 
4000
  //sdram_1/s1 chosen master rotated left, which is an e_assign
4001
  assign sdram_1_s1_chosen_master_rot_left = (sdram_1_s1_arb_winner << 1) ? (sdram_1_s1_arb_winner << 1) : 1;
4002
 
4003
  //sdram_1/s1's addend for next-master-grant
4004
  always @(posedge clk or negedge reset_n)
4005
    begin
4006
      if (reset_n == 0)
4007
          sdram_1_s1_arb_addend <= 1;
4008
      else if (|sdram_1_s1_grant_vector)
4009
          sdram_1_s1_arb_addend <= sdram_1_s1_end_xfer? sdram_1_s1_chosen_master_rot_left : sdram_1_s1_grant_vector;
4010
    end
4011
 
4012
 
4013
  //sdram_1_s1_reset_n assignment, which is an e_assign
4014
  assign sdram_1_s1_reset_n = reset_n;
4015
 
4016
  assign sdram_1_s1_chipselect = cpu_1_data_master_granted_sdram_1_s1 | cpu_1_instruction_master_granted_sdram_1_s1;
4017
  //sdram_1_s1_firsttransfer first transaction, which is an e_assign
4018
  assign sdram_1_s1_firsttransfer = sdram_1_s1_begins_xfer ? sdram_1_s1_unreg_firsttransfer : sdram_1_s1_reg_firsttransfer;
4019
 
4020
  //sdram_1_s1_unreg_firsttransfer first transaction, which is an e_assign
4021
  assign sdram_1_s1_unreg_firsttransfer = ~(sdram_1_s1_slavearbiterlockenable & sdram_1_s1_any_continuerequest);
4022
 
4023
  //sdram_1_s1_reg_firsttransfer first transaction, which is an e_register
4024
  always @(posedge clk or negedge reset_n)
4025
    begin
4026
      if (reset_n == 0)
4027
          sdram_1_s1_reg_firsttransfer <= 1'b1;
4028
      else if (sdram_1_s1_begins_xfer)
4029
          sdram_1_s1_reg_firsttransfer <= sdram_1_s1_unreg_firsttransfer;
4030
    end
4031
 
4032
 
4033
  //sdram_1_s1_beginbursttransfer_internal begin burst transfer, which is an e_assign
4034
  assign sdram_1_s1_beginbursttransfer_internal = sdram_1_s1_begins_xfer;
4035
 
4036
  //sdram_1_s1_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
4037
  assign sdram_1_s1_arbitration_holdoff_internal = sdram_1_s1_begins_xfer & sdram_1_s1_firsttransfer;
4038
 
4039
  //~sdram_1_s1_read_n assignment, which is an e_mux
4040
  assign sdram_1_s1_read_n = ~((cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_read) | (cpu_1_instruction_master_granted_sdram_1_s1 & cpu_1_instruction_master_read));
4041
 
4042
  //~sdram_1_s1_write_n assignment, which is an e_mux
4043
  assign sdram_1_s1_write_n = ~(cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_write);
4044
 
4045
  assign shifted_address_to_sdram_1_s1_from_cpu_1_data_master = {cpu_1_data_master_address_to_slave >> 2,
4046
    cpu_1_data_master_dbs_address[1],
4047
    {1 {1'b0}}};
4048
 
4049
  //sdram_1_s1_address mux, which is an e_mux
4050
  assign sdram_1_s1_address = (cpu_1_data_master_granted_sdram_1_s1)? (shifted_address_to_sdram_1_s1_from_cpu_1_data_master >> 1) :
4051
    (shifted_address_to_sdram_1_s1_from_cpu_1_instruction_master >> 1);
4052
 
4053
  assign shifted_address_to_sdram_1_s1_from_cpu_1_instruction_master = {cpu_1_instruction_master_address_to_slave >> 2,
4054
    cpu_1_instruction_master_dbs_address[1],
4055
    {1 {1'b0}}};
4056
 
4057
  //d1_sdram_1_s1_end_xfer register, which is an e_register
4058
  always @(posedge clk or negedge reset_n)
4059
    begin
4060
      if (reset_n == 0)
4061
          d1_sdram_1_s1_end_xfer <= 1;
4062
      else
4063
        d1_sdram_1_s1_end_xfer <= sdram_1_s1_end_xfer;
4064
    end
4065
 
4066
 
4067
  //sdram_1_s1_waits_for_read in a cycle, which is an e_mux
4068
  assign sdram_1_s1_waits_for_read = sdram_1_s1_in_a_read_cycle & sdram_1_s1_waitrequest_from_sa;
4069
 
4070
  //sdram_1_s1_in_a_read_cycle assignment, which is an e_assign
4071
  assign sdram_1_s1_in_a_read_cycle = (cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_read) | (cpu_1_instruction_master_granted_sdram_1_s1 & cpu_1_instruction_master_read);
4072
 
4073
  //in_a_read_cycle assignment, which is an e_mux
4074
  assign in_a_read_cycle = sdram_1_s1_in_a_read_cycle;
4075
 
4076
  //sdram_1_s1_waits_for_write in a cycle, which is an e_mux
4077
  assign sdram_1_s1_waits_for_write = sdram_1_s1_in_a_write_cycle & sdram_1_s1_waitrequest_from_sa;
4078
 
4079
  //sdram_1_s1_in_a_write_cycle assignment, which is an e_assign
4080
  assign sdram_1_s1_in_a_write_cycle = cpu_1_data_master_granted_sdram_1_s1 & cpu_1_data_master_write;
4081
 
4082
  //in_a_write_cycle assignment, which is an e_mux
4083
  assign in_a_write_cycle = sdram_1_s1_in_a_write_cycle;
4084
 
4085
  assign wait_for_sdram_1_s1_counter = 0;
4086
  //~sdram_1_s1_byteenable_n byte enable port mux, which is an e_mux
4087
  assign sdram_1_s1_byteenable_n = ~((cpu_1_data_master_granted_sdram_1_s1)? cpu_1_data_master_byteenable_sdram_1_s1 :
4088
    -1);
4089
 
4090
  assign {cpu_1_data_master_byteenable_sdram_1_s1_segment_1,
4091
cpu_1_data_master_byteenable_sdram_1_s1_segment_0} = cpu_1_data_master_byteenable;
4092
  assign cpu_1_data_master_byteenable_sdram_1_s1 = ((cpu_1_data_master_dbs_address[1] == 0))? cpu_1_data_master_byteenable_sdram_1_s1_segment_0 :
4093
    cpu_1_data_master_byteenable_sdram_1_s1_segment_1;
4094
 
4095
 
4096
//synthesis translate_off
4097
//////////////// SIMULATION-ONLY CONTENTS
4098
  //sdram_1/s1 enable non-zero assertions, which is an e_register
4099
  always @(posedge clk or negedge reset_n)
4100
    begin
4101
      if (reset_n == 0)
4102
          enable_nonzero_assertions <= 0;
4103
      else
4104
        enable_nonzero_assertions <= 1'b1;
4105
    end
4106
 
4107
 
4108
  //grant signals are active simultaneously, which is an e_process
4109
  always @(posedge clk)
4110
    begin
4111
      if (cpu_1_data_master_granted_sdram_1_s1 + cpu_1_instruction_master_granted_sdram_1_s1 > 1)
4112
        begin
4113
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
4114
          $stop;
4115
        end
4116
    end
4117
 
4118
 
4119
  //saved_grant signals are active simultaneously, which is an e_process
4120
  always @(posedge clk)
4121
    begin
4122
      if (cpu_1_data_master_saved_grant_sdram_1_s1 + cpu_1_instruction_master_saved_grant_sdram_1_s1 > 1)
4123
        begin
4124
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
4125
          $stop;
4126
        end
4127
    end
4128
 
4129
 
4130
 
4131
//////////////// END SIMULATION-ONLY CONTENTS
4132
 
4133
//synthesis translate_on
4134
 
4135
endmodule
4136
 
4137
 
4138
// synthesis translate_off
4139
`timescale 1ns / 1ps
4140
// synthesis translate_on
4141
 
4142
// turn off superfluous verilog processor warnings 
4143
// altera message_level Level1 
4144
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
4145
 
4146
module timer_1_s1_arbitrator (
4147
                               // inputs:
4148
                                clk,
4149
                                cpu_1_data_master_address_to_slave,
4150
                                cpu_1_data_master_latency_counter,
4151
                                cpu_1_data_master_read,
4152
                                cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register,
4153
                                cpu_1_data_master_write,
4154
                                cpu_1_data_master_writedata,
4155
                                reset_n,
4156
                                timer_1_s1_irq,
4157
                                timer_1_s1_readdata,
4158
 
4159
                               // outputs:
4160
                                cpu_1_data_master_granted_timer_1_s1,
4161
                                cpu_1_data_master_qualified_request_timer_1_s1,
4162
                                cpu_1_data_master_read_data_valid_timer_1_s1,
4163
                                cpu_1_data_master_requests_timer_1_s1,
4164
                                d1_timer_1_s1_end_xfer,
4165
                                timer_1_s1_address,
4166
                                timer_1_s1_chipselect,
4167
                                timer_1_s1_irq_from_sa,
4168
                                timer_1_s1_readdata_from_sa,
4169
                                timer_1_s1_reset_n,
4170
                                timer_1_s1_write_n,
4171
                                timer_1_s1_writedata
4172
                             )
4173
;
4174
 
4175
  output           cpu_1_data_master_granted_timer_1_s1;
4176
  output           cpu_1_data_master_qualified_request_timer_1_s1;
4177
  output           cpu_1_data_master_read_data_valid_timer_1_s1;
4178
  output           cpu_1_data_master_requests_timer_1_s1;
4179
  output           d1_timer_1_s1_end_xfer;
4180
  output  [  2: 0] timer_1_s1_address;
4181
  output           timer_1_s1_chipselect;
4182
  output           timer_1_s1_irq_from_sa;
4183
  output  [ 15: 0] timer_1_s1_readdata_from_sa;
4184
  output           timer_1_s1_reset_n;
4185
  output           timer_1_s1_write_n;
4186
  output  [ 15: 0] timer_1_s1_writedata;
4187
  input            clk;
4188
  input   [ 24: 0] cpu_1_data_master_address_to_slave;
4189
  input            cpu_1_data_master_latency_counter;
4190
  input            cpu_1_data_master_read;
4191
  input            cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
4192
  input            cpu_1_data_master_write;
4193
  input   [ 31: 0] cpu_1_data_master_writedata;
4194
  input            reset_n;
4195
  input            timer_1_s1_irq;
4196
  input   [ 15: 0] timer_1_s1_readdata;
4197
 
4198
  wire             cpu_1_data_master_arbiterlock;
4199
  wire             cpu_1_data_master_arbiterlock2;
4200
  wire             cpu_1_data_master_continuerequest;
4201
  wire             cpu_1_data_master_granted_timer_1_s1;
4202
  wire             cpu_1_data_master_qualified_request_timer_1_s1;
4203
  wire             cpu_1_data_master_read_data_valid_timer_1_s1;
4204
  wire             cpu_1_data_master_requests_timer_1_s1;
4205
  wire             cpu_1_data_master_saved_grant_timer_1_s1;
4206
  reg              d1_reasons_to_wait;
4207
  reg              d1_timer_1_s1_end_xfer;
4208
  reg              enable_nonzero_assertions;
4209
  wire             end_xfer_arb_share_counter_term_timer_1_s1;
4210
  wire             in_a_read_cycle;
4211
  wire             in_a_write_cycle;
4212
  wire    [ 24: 0] shifted_address_to_timer_1_s1_from_cpu_1_data_master;
4213
  wire    [  2: 0] timer_1_s1_address;
4214
  wire             timer_1_s1_allgrants;
4215
  wire             timer_1_s1_allow_new_arb_cycle;
4216
  wire             timer_1_s1_any_bursting_master_saved_grant;
4217
  wire             timer_1_s1_any_continuerequest;
4218
  wire             timer_1_s1_arb_counter_enable;
4219
  reg     [  1: 0] timer_1_s1_arb_share_counter;
4220
  wire    [  1: 0] timer_1_s1_arb_share_counter_next_value;
4221
  wire    [  1: 0] timer_1_s1_arb_share_set_values;
4222
  wire             timer_1_s1_beginbursttransfer_internal;
4223
  wire             timer_1_s1_begins_xfer;
4224
  wire             timer_1_s1_chipselect;
4225
  wire             timer_1_s1_end_xfer;
4226
  wire             timer_1_s1_firsttransfer;
4227
  wire             timer_1_s1_grant_vector;
4228
  wire             timer_1_s1_in_a_read_cycle;
4229
  wire             timer_1_s1_in_a_write_cycle;
4230
  wire             timer_1_s1_irq_from_sa;
4231
  wire             timer_1_s1_master_qreq_vector;
4232
  wire             timer_1_s1_non_bursting_master_requests;
4233
  wire    [ 15: 0] timer_1_s1_readdata_from_sa;
4234
  reg              timer_1_s1_reg_firsttransfer;
4235
  wire             timer_1_s1_reset_n;
4236
  reg              timer_1_s1_slavearbiterlockenable;
4237
  wire             timer_1_s1_slavearbiterlockenable2;
4238
  wire             timer_1_s1_unreg_firsttransfer;
4239
  wire             timer_1_s1_waits_for_read;
4240
  wire             timer_1_s1_waits_for_write;
4241
  wire             timer_1_s1_write_n;
4242
  wire    [ 15: 0] timer_1_s1_writedata;
4243
  wire             wait_for_timer_1_s1_counter;
4244
  always @(posedge clk or negedge reset_n)
4245
    begin
4246
      if (reset_n == 0)
4247
          d1_reasons_to_wait <= 0;
4248
      else
4249
        d1_reasons_to_wait <= ~timer_1_s1_end_xfer;
4250
    end
4251
 
4252
 
4253
  assign timer_1_s1_begins_xfer = ~d1_reasons_to_wait & ((cpu_1_data_master_qualified_request_timer_1_s1));
4254
  //assign timer_1_s1_readdata_from_sa = timer_1_s1_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
4255
  assign timer_1_s1_readdata_from_sa = timer_1_s1_readdata;
4256
 
4257
  assign cpu_1_data_master_requests_timer_1_s1 = ({cpu_1_data_master_address_to_slave[24 : 5] , 5'b0} == 25'h1001a00) & (cpu_1_data_master_read | cpu_1_data_master_write);
4258
  //timer_1_s1_arb_share_counter set values, which is an e_mux
4259
  assign timer_1_s1_arb_share_set_values = 1;
4260
 
4261
  //timer_1_s1_non_bursting_master_requests mux, which is an e_mux
4262
  assign timer_1_s1_non_bursting_master_requests = cpu_1_data_master_requests_timer_1_s1;
4263
 
4264
  //timer_1_s1_any_bursting_master_saved_grant mux, which is an e_mux
4265
  assign timer_1_s1_any_bursting_master_saved_grant = 0;
4266
 
4267
  //timer_1_s1_arb_share_counter_next_value assignment, which is an e_assign
4268
  assign timer_1_s1_arb_share_counter_next_value = timer_1_s1_firsttransfer ? (timer_1_s1_arb_share_set_values - 1) : |timer_1_s1_arb_share_counter ? (timer_1_s1_arb_share_counter - 1) : 0;
4269
 
4270
  //timer_1_s1_allgrants all slave grants, which is an e_mux
4271
  assign timer_1_s1_allgrants = |timer_1_s1_grant_vector;
4272
 
4273
  //timer_1_s1_end_xfer assignment, which is an e_assign
4274
  assign timer_1_s1_end_xfer = ~(timer_1_s1_waits_for_read | timer_1_s1_waits_for_write);
4275
 
4276
  //end_xfer_arb_share_counter_term_timer_1_s1 arb share counter enable term, which is an e_assign
4277
  assign end_xfer_arb_share_counter_term_timer_1_s1 = timer_1_s1_end_xfer & (~timer_1_s1_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
4278
 
4279
  //timer_1_s1_arb_share_counter arbitration counter enable, which is an e_assign
4280
  assign timer_1_s1_arb_counter_enable = (end_xfer_arb_share_counter_term_timer_1_s1 & timer_1_s1_allgrants) | (end_xfer_arb_share_counter_term_timer_1_s1 & ~timer_1_s1_non_bursting_master_requests);
4281
 
4282
  //timer_1_s1_arb_share_counter counter, which is an e_register
4283
  always @(posedge clk or negedge reset_n)
4284
    begin
4285
      if (reset_n == 0)
4286
          timer_1_s1_arb_share_counter <= 0;
4287
      else if (timer_1_s1_arb_counter_enable)
4288
          timer_1_s1_arb_share_counter <= timer_1_s1_arb_share_counter_next_value;
4289
    end
4290
 
4291
 
4292
  //timer_1_s1_slavearbiterlockenable slave enables arbiterlock, which is an e_register
4293
  always @(posedge clk or negedge reset_n)
4294
    begin
4295
      if (reset_n == 0)
4296
          timer_1_s1_slavearbiterlockenable <= 0;
4297
      else if ((|timer_1_s1_master_qreq_vector & end_xfer_arb_share_counter_term_timer_1_s1) | (end_xfer_arb_share_counter_term_timer_1_s1 & ~timer_1_s1_non_bursting_master_requests))
4298
          timer_1_s1_slavearbiterlockenable <= |timer_1_s1_arb_share_counter_next_value;
4299
    end
4300
 
4301
 
4302
  //cpu_1/data_master timer_1/s1 arbiterlock, which is an e_assign
4303
  assign cpu_1_data_master_arbiterlock = timer_1_s1_slavearbiterlockenable & cpu_1_data_master_continuerequest;
4304
 
4305
  //timer_1_s1_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
4306
  assign timer_1_s1_slavearbiterlockenable2 = |timer_1_s1_arb_share_counter_next_value;
4307
 
4308
  //cpu_1/data_master timer_1/s1 arbiterlock2, which is an e_assign
4309
  assign cpu_1_data_master_arbiterlock2 = timer_1_s1_slavearbiterlockenable2 & cpu_1_data_master_continuerequest;
4310
 
4311
  //timer_1_s1_any_continuerequest at least one master continues requesting, which is an e_assign
4312
  assign timer_1_s1_any_continuerequest = 1;
4313
 
4314
  //cpu_1_data_master_continuerequest continued request, which is an e_assign
4315
  assign cpu_1_data_master_continuerequest = 1;
4316
 
4317
  assign cpu_1_data_master_qualified_request_timer_1_s1 = cpu_1_data_master_requests_timer_1_s1 & ~((cpu_1_data_master_read & ((cpu_1_data_master_latency_counter != 0) | (|cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register))));
4318
  //local readdatavalid cpu_1_data_master_read_data_valid_timer_1_s1, which is an e_mux
4319
  assign cpu_1_data_master_read_data_valid_timer_1_s1 = cpu_1_data_master_granted_timer_1_s1 & cpu_1_data_master_read & ~timer_1_s1_waits_for_read;
4320
 
4321
  //timer_1_s1_writedata mux, which is an e_mux
4322
  assign timer_1_s1_writedata = cpu_1_data_master_writedata;
4323
 
4324
  //master is always granted when requested
4325
  assign cpu_1_data_master_granted_timer_1_s1 = cpu_1_data_master_qualified_request_timer_1_s1;
4326
 
4327
  //cpu_1/data_master saved-grant timer_1/s1, which is an e_assign
4328
  assign cpu_1_data_master_saved_grant_timer_1_s1 = cpu_1_data_master_requests_timer_1_s1;
4329
 
4330
  //allow new arb cycle for timer_1/s1, which is an e_assign
4331
  assign timer_1_s1_allow_new_arb_cycle = 1;
4332
 
4333
  //placeholder chosen master
4334
  assign timer_1_s1_grant_vector = 1;
4335
 
4336
  //placeholder vector of master qualified-requests
4337
  assign timer_1_s1_master_qreq_vector = 1;
4338
 
4339
  //timer_1_s1_reset_n assignment, which is an e_assign
4340
  assign timer_1_s1_reset_n = reset_n;
4341
 
4342
  assign timer_1_s1_chipselect = cpu_1_data_master_granted_timer_1_s1;
4343
  //timer_1_s1_firsttransfer first transaction, which is an e_assign
4344
  assign timer_1_s1_firsttransfer = timer_1_s1_begins_xfer ? timer_1_s1_unreg_firsttransfer : timer_1_s1_reg_firsttransfer;
4345
 
4346
  //timer_1_s1_unreg_firsttransfer first transaction, which is an e_assign
4347
  assign timer_1_s1_unreg_firsttransfer = ~(timer_1_s1_slavearbiterlockenable & timer_1_s1_any_continuerequest);
4348
 
4349
  //timer_1_s1_reg_firsttransfer first transaction, which is an e_register
4350
  always @(posedge clk or negedge reset_n)
4351
    begin
4352
      if (reset_n == 0)
4353
          timer_1_s1_reg_firsttransfer <= 1'b1;
4354
      else if (timer_1_s1_begins_xfer)
4355
          timer_1_s1_reg_firsttransfer <= timer_1_s1_unreg_firsttransfer;
4356
    end
4357
 
4358
 
4359
  //timer_1_s1_beginbursttransfer_internal begin burst transfer, which is an e_assign
4360
  assign timer_1_s1_beginbursttransfer_internal = timer_1_s1_begins_xfer;
4361
 
4362
  //~timer_1_s1_write_n assignment, which is an e_mux
4363
  assign timer_1_s1_write_n = ~(cpu_1_data_master_granted_timer_1_s1 & cpu_1_data_master_write);
4364
 
4365
  assign shifted_address_to_timer_1_s1_from_cpu_1_data_master = cpu_1_data_master_address_to_slave;
4366
  //timer_1_s1_address mux, which is an e_mux
4367
  assign timer_1_s1_address = shifted_address_to_timer_1_s1_from_cpu_1_data_master >> 2;
4368
 
4369
  //d1_timer_1_s1_end_xfer register, which is an e_register
4370
  always @(posedge clk or negedge reset_n)
4371
    begin
4372
      if (reset_n == 0)
4373
          d1_timer_1_s1_end_xfer <= 1;
4374
      else
4375
        d1_timer_1_s1_end_xfer <= timer_1_s1_end_xfer;
4376
    end
4377
 
4378
 
4379
  //timer_1_s1_waits_for_read in a cycle, which is an e_mux
4380
  assign timer_1_s1_waits_for_read = timer_1_s1_in_a_read_cycle & timer_1_s1_begins_xfer;
4381
 
4382
  //timer_1_s1_in_a_read_cycle assignment, which is an e_assign
4383
  assign timer_1_s1_in_a_read_cycle = cpu_1_data_master_granted_timer_1_s1 & cpu_1_data_master_read;
4384
 
4385
  //in_a_read_cycle assignment, which is an e_mux
4386
  assign in_a_read_cycle = timer_1_s1_in_a_read_cycle;
4387
 
4388
  //timer_1_s1_waits_for_write in a cycle, which is an e_mux
4389
  assign timer_1_s1_waits_for_write = timer_1_s1_in_a_write_cycle & 0;
4390
 
4391
  //timer_1_s1_in_a_write_cycle assignment, which is an e_assign
4392
  assign timer_1_s1_in_a_write_cycle = cpu_1_data_master_granted_timer_1_s1 & cpu_1_data_master_write;
4393
 
4394
  //in_a_write_cycle assignment, which is an e_mux
4395
  assign in_a_write_cycle = timer_1_s1_in_a_write_cycle;
4396
 
4397
  assign wait_for_timer_1_s1_counter = 0;
4398
  //assign timer_1_s1_irq_from_sa = timer_1_s1_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
4399
  assign timer_1_s1_irq_from_sa = timer_1_s1_irq;
4400
 
4401
 
4402
//synthesis translate_off
4403
//////////////// SIMULATION-ONLY CONTENTS
4404
  //timer_1/s1 enable non-zero assertions, which is an e_register
4405
  always @(posedge clk or negedge reset_n)
4406
    begin
4407
      if (reset_n == 0)
4408
          enable_nonzero_assertions <= 0;
4409
      else
4410
        enable_nonzero_assertions <= 1'b1;
4411
    end
4412
 
4413
 
4414
 
4415
//////////////// END SIMULATION-ONLY CONTENTS
4416
 
4417
//synthesis translate_on
4418
 
4419
endmodule
4420
 
4421
 
4422
// synthesis translate_off
4423
`timescale 1ns / 1ps
4424
// synthesis translate_on
4425
 
4426
// turn off superfluous verilog processor warnings 
4427
// altera message_level Level1 
4428
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
4429
 
4430
module nios_ii_sdram_reset_clk_0_domain_synch_module (
4431
                                                       // inputs:
4432
                                                        clk,
4433
                                                        data_in,
4434
                                                        reset_n,
4435
 
4436
                                                       // outputs:
4437
                                                        data_out
4438
                                                     )
4439
;
4440
 
4441
  output           data_out;
4442
  input            clk;
4443
  input            data_in;
4444
  input            reset_n;
4445
 
4446
  reg              data_in_d1 /* synthesis ALTERA_ATTRIBUTE = "{-from \"*\"} CUT=ON ; PRESERVE_REGISTER=ON ; SUPPRESS_DA_RULE_INTERNAL=R101"  */;
4447
  reg              data_out /* synthesis ALTERA_ATTRIBUTE = "PRESERVE_REGISTER=ON ; SUPPRESS_DA_RULE_INTERNAL=R101"  */;
4448
  always @(posedge clk or negedge reset_n)
4449
    begin
4450
      if (reset_n == 0)
4451
          data_in_d1 <= 0;
4452
      else
4453
        data_in_d1 <= data_in;
4454
    end
4455
 
4456
 
4457
  always @(posedge clk or negedge reset_n)
4458
    begin
4459
      if (reset_n == 0)
4460
          data_out <= 0;
4461
      else
4462
        data_out <= data_in_d1;
4463
    end
4464
 
4465
 
4466
 
4467
endmodule
4468
 
4469
 
4470
// synthesis translate_off
4471
`timescale 1ns / 1ps
4472
// synthesis translate_on
4473
 
4474
// turn off superfluous verilog processor warnings 
4475
// altera message_level Level1 
4476
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
4477
 
4478
module nios_ii_sdram (
4479
                       // 1) global signals:
4480
                        clk_0,
4481
                        reset_n,
4482
 
4483
                       // the_hibi_pe_dma_1
4484
                        hibi_av_in_to_the_hibi_pe_dma_1,
4485
                        hibi_av_out_from_the_hibi_pe_dma_1,
4486
                        hibi_comm_in_to_the_hibi_pe_dma_1,
4487
                        hibi_comm_out_from_the_hibi_pe_dma_1,
4488
                        hibi_data_in_to_the_hibi_pe_dma_1,
4489
                        hibi_data_out_from_the_hibi_pe_dma_1,
4490
                        hibi_empty_in_to_the_hibi_pe_dma_1,
4491
                        hibi_full_in_to_the_hibi_pe_dma_1,
4492
                        hibi_re_out_from_the_hibi_pe_dma_1,
4493
                        hibi_we_out_from_the_hibi_pe_dma_1,
4494
 
4495
                       // the_sdram_1
4496
                        zs_addr_from_the_sdram_1,
4497
                        zs_ba_from_the_sdram_1,
4498
                        zs_cas_n_from_the_sdram_1,
4499
                        zs_cke_from_the_sdram_1,
4500
                        zs_cs_n_from_the_sdram_1,
4501
                        zs_dq_to_and_from_the_sdram_1,
4502
                        zs_dqm_from_the_sdram_1,
4503
                        zs_ras_n_from_the_sdram_1,
4504
                        zs_we_n_from_the_sdram_1
4505
                     )
4506
;
4507
 
4508
  output           hibi_av_out_from_the_hibi_pe_dma_1;
4509
  output  [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_1;
4510
  output  [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_1;
4511
  output           hibi_re_out_from_the_hibi_pe_dma_1;
4512
  output           hibi_we_out_from_the_hibi_pe_dma_1;
4513
  output  [ 11: 0] zs_addr_from_the_sdram_1;
4514
  output  [  1: 0] zs_ba_from_the_sdram_1;
4515
  output           zs_cas_n_from_the_sdram_1;
4516
  output           zs_cke_from_the_sdram_1;
4517
  output           zs_cs_n_from_the_sdram_1;
4518
  inout   [ 15: 0] zs_dq_to_and_from_the_sdram_1;
4519
  output  [  1: 0] zs_dqm_from_the_sdram_1;
4520
  output           zs_ras_n_from_the_sdram_1;
4521
  output           zs_we_n_from_the_sdram_1;
4522
  input            clk_0;
4523
  input            hibi_av_in_to_the_hibi_pe_dma_1;
4524
  input   [  4: 0] hibi_comm_in_to_the_hibi_pe_dma_1;
4525
  input   [ 31: 0] hibi_data_in_to_the_hibi_pe_dma_1;
4526
  input            hibi_empty_in_to_the_hibi_pe_dma_1;
4527
  input            hibi_full_in_to_the_hibi_pe_dma_1;
4528
  input            reset_n;
4529
 
4530
  wire             clk_0_reset_n;
4531
  wire    [ 24: 0] cpu_1_data_master_address;
4532
  wire    [ 24: 0] cpu_1_data_master_address_to_slave;
4533
  wire    [  3: 0] cpu_1_data_master_byteenable;
4534
  wire    [  1: 0] cpu_1_data_master_byteenable_sdram_1_s1;
4535
  wire    [  1: 0] cpu_1_data_master_dbs_address;
4536
  wire    [ 15: 0] cpu_1_data_master_dbs_write_16;
4537
  wire             cpu_1_data_master_debugaccess;
4538
  wire             cpu_1_data_master_granted_cpu_1_jtag_debug_module;
4539
  wire             cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0;
4540
  wire             cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave;
4541
  wire             cpu_1_data_master_granted_onchip_memory_1_s2;
4542
  wire             cpu_1_data_master_granted_sdram_1_s1;
4543
  wire             cpu_1_data_master_granted_timer_1_s1;
4544
  wire    [ 31: 0] cpu_1_data_master_irq;
4545
  wire             cpu_1_data_master_latency_counter;
4546
  wire             cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module;
4547
  wire             cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0;
4548
  wire             cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave;
4549
  wire             cpu_1_data_master_qualified_request_onchip_memory_1_s2;
4550
  wire             cpu_1_data_master_qualified_request_sdram_1_s1;
4551
  wire             cpu_1_data_master_qualified_request_timer_1_s1;
4552
  wire             cpu_1_data_master_read;
4553
  wire             cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module;
4554
  wire             cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0;
4555
  wire             cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave;
4556
  wire             cpu_1_data_master_read_data_valid_onchip_memory_1_s2;
4557
  wire             cpu_1_data_master_read_data_valid_sdram_1_s1;
4558
  wire             cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register;
4559
  wire             cpu_1_data_master_read_data_valid_timer_1_s1;
4560
  wire    [ 31: 0] cpu_1_data_master_readdata;
4561
  wire             cpu_1_data_master_readdatavalid;
4562
  wire             cpu_1_data_master_requests_cpu_1_jtag_debug_module;
4563
  wire             cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0;
4564
  wire             cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave;
4565
  wire             cpu_1_data_master_requests_onchip_memory_1_s2;
4566
  wire             cpu_1_data_master_requests_sdram_1_s1;
4567
  wire             cpu_1_data_master_requests_timer_1_s1;
4568
  wire             cpu_1_data_master_waitrequest;
4569
  wire             cpu_1_data_master_write;
4570
  wire    [ 31: 0] cpu_1_data_master_writedata;
4571
  wire    [ 24: 0] cpu_1_instruction_master_address;
4572
  wire    [ 24: 0] cpu_1_instruction_master_address_to_slave;
4573
  wire    [  1: 0] cpu_1_instruction_master_dbs_address;
4574
  wire             cpu_1_instruction_master_granted_cpu_1_jtag_debug_module;
4575
  wire             cpu_1_instruction_master_granted_sdram_1_s1;
4576
  wire             cpu_1_instruction_master_latency_counter;
4577
  wire             cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module;
4578
  wire             cpu_1_instruction_master_qualified_request_sdram_1_s1;
4579
  wire             cpu_1_instruction_master_read;
4580
  wire             cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module;
4581
  wire             cpu_1_instruction_master_read_data_valid_sdram_1_s1;
4582
  wire             cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register;
4583
  wire    [ 31: 0] cpu_1_instruction_master_readdata;
4584
  wire             cpu_1_instruction_master_readdatavalid;
4585
  wire             cpu_1_instruction_master_requests_cpu_1_jtag_debug_module;
4586
  wire             cpu_1_instruction_master_requests_sdram_1_s1;
4587
  wire             cpu_1_instruction_master_waitrequest;
4588
  wire    [  8: 0] cpu_1_jtag_debug_module_address;
4589
  wire             cpu_1_jtag_debug_module_begintransfer;
4590
  wire    [  3: 0] cpu_1_jtag_debug_module_byteenable;
4591
  wire             cpu_1_jtag_debug_module_chipselect;
4592
  wire             cpu_1_jtag_debug_module_debugaccess;
4593
  wire    [ 31: 0] cpu_1_jtag_debug_module_readdata;
4594
  wire    [ 31: 0] cpu_1_jtag_debug_module_readdata_from_sa;
4595
  wire             cpu_1_jtag_debug_module_reset_n;
4596
  wire             cpu_1_jtag_debug_module_resetrequest;
4597
  wire             cpu_1_jtag_debug_module_resetrequest_from_sa;
4598
  wire             cpu_1_jtag_debug_module_write;
4599
  wire    [ 31: 0] cpu_1_jtag_debug_module_writedata;
4600
  wire             d1_cpu_1_jtag_debug_module_end_xfer;
4601
  wire             d1_hibi_pe_dma_1_avalon_slave_0_end_xfer;
4602
  wire             d1_jtag_uart_1_avalon_jtag_slave_end_xfer;
4603
  wire             d1_onchip_memory_1_s1_end_xfer;
4604
  wire             d1_onchip_memory_1_s2_end_xfer;
4605
  wire             d1_sdram_1_s1_end_xfer;
4606
  wire             d1_timer_1_s1_end_xfer;
4607
  wire             hibi_av_out_from_the_hibi_pe_dma_1;
4608
  wire    [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_1;
4609
  wire    [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_1;
4610
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_1_address;
4611
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_1_address_to_slave;
4612
  wire             hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1;
4613
  wire             hibi_pe_dma_1_avalon_master_1_latency_counter;
4614
  wire             hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1;
4615
  wire             hibi_pe_dma_1_avalon_master_1_read;
4616
  wire             hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1;
4617
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_1_readdata;
4618
  wire             hibi_pe_dma_1_avalon_master_1_readdatavalid;
4619
  wire             hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1;
4620
  wire             hibi_pe_dma_1_avalon_master_1_waitrequest;
4621
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_address;
4622
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_address_to_slave;
4623
  wire    [  3: 0] hibi_pe_dma_1_avalon_master_byteenable;
4624
  wire             hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1;
4625
  wire             hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1;
4626
  wire             hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1;
4627
  wire             hibi_pe_dma_1_avalon_master_waitrequest;
4628
  wire             hibi_pe_dma_1_avalon_master_write;
4629
  wire    [ 31: 0] hibi_pe_dma_1_avalon_master_writedata;
4630
  wire    [  6: 0] hibi_pe_dma_1_avalon_slave_0_address;
4631
  wire             hibi_pe_dma_1_avalon_slave_0_chipselect;
4632
  wire             hibi_pe_dma_1_avalon_slave_0_irq;
4633
  wire             hibi_pe_dma_1_avalon_slave_0_irq_from_sa;
4634
  wire             hibi_pe_dma_1_avalon_slave_0_read;
4635
  wire    [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata;
4636
  wire    [ 31: 0] hibi_pe_dma_1_avalon_slave_0_readdata_from_sa;
4637
  wire             hibi_pe_dma_1_avalon_slave_0_reset_n;
4638
  wire             hibi_pe_dma_1_avalon_slave_0_waitrequest;
4639
  wire             hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa;
4640
  wire             hibi_pe_dma_1_avalon_slave_0_write;
4641
  wire    [ 31: 0] hibi_pe_dma_1_avalon_slave_0_writedata;
4642
  wire             hibi_re_out_from_the_hibi_pe_dma_1;
4643
  wire             hibi_we_out_from_the_hibi_pe_dma_1;
4644
  wire             jtag_uart_1_avalon_jtag_slave_address;
4645
  wire             jtag_uart_1_avalon_jtag_slave_chipselect;
4646
  wire             jtag_uart_1_avalon_jtag_slave_dataavailable;
4647
  wire             jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa;
4648
  wire             jtag_uart_1_avalon_jtag_slave_irq;
4649
  wire             jtag_uart_1_avalon_jtag_slave_irq_from_sa;
4650
  wire             jtag_uart_1_avalon_jtag_slave_read_n;
4651
  wire    [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata;
4652
  wire    [ 31: 0] jtag_uart_1_avalon_jtag_slave_readdata_from_sa;
4653
  wire             jtag_uart_1_avalon_jtag_slave_readyfordata;
4654
  wire             jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa;
4655
  wire             jtag_uart_1_avalon_jtag_slave_reset_n;
4656
  wire             jtag_uart_1_avalon_jtag_slave_waitrequest;
4657
  wire             jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa;
4658
  wire             jtag_uart_1_avalon_jtag_slave_write_n;
4659
  wire    [ 31: 0] jtag_uart_1_avalon_jtag_slave_writedata;
4660
  wire    [  8: 0] onchip_memory_1_s1_address;
4661
  wire    [  3: 0] onchip_memory_1_s1_byteenable;
4662
  wire             onchip_memory_1_s1_chipselect;
4663
  wire             onchip_memory_1_s1_clken;
4664
  wire    [ 31: 0] onchip_memory_1_s1_readdata;
4665
  wire    [ 31: 0] onchip_memory_1_s1_readdata_from_sa;
4666
  wire             onchip_memory_1_s1_reset;
4667
  wire             onchip_memory_1_s1_write;
4668
  wire    [ 31: 0] onchip_memory_1_s1_writedata;
4669
  wire    [  8: 0] onchip_memory_1_s2_address;
4670
  wire    [  3: 0] onchip_memory_1_s2_byteenable;
4671
  wire             onchip_memory_1_s2_chipselect;
4672
  wire             onchip_memory_1_s2_clken;
4673
  wire    [ 31: 0] onchip_memory_1_s2_readdata;
4674
  wire    [ 31: 0] onchip_memory_1_s2_readdata_from_sa;
4675
  wire             onchip_memory_1_s2_reset;
4676
  wire             onchip_memory_1_s2_write;
4677
  wire    [ 31: 0] onchip_memory_1_s2_writedata;
4678
  wire             reset_n_sources;
4679
  wire    [ 21: 0] sdram_1_s1_address;
4680
  wire    [  1: 0] sdram_1_s1_byteenable_n;
4681
  wire             sdram_1_s1_chipselect;
4682
  wire             sdram_1_s1_read_n;
4683
  wire    [ 15: 0] sdram_1_s1_readdata;
4684
  wire    [ 15: 0] sdram_1_s1_readdata_from_sa;
4685
  wire             sdram_1_s1_readdatavalid;
4686
  wire             sdram_1_s1_reset_n;
4687
  wire             sdram_1_s1_waitrequest;
4688
  wire             sdram_1_s1_waitrequest_from_sa;
4689
  wire             sdram_1_s1_write_n;
4690
  wire    [ 15: 0] sdram_1_s1_writedata;
4691
  wire    [  2: 0] timer_1_s1_address;
4692
  wire             timer_1_s1_chipselect;
4693
  wire             timer_1_s1_irq;
4694
  wire             timer_1_s1_irq_from_sa;
4695
  wire    [ 15: 0] timer_1_s1_readdata;
4696
  wire    [ 15: 0] timer_1_s1_readdata_from_sa;
4697
  wire             timer_1_s1_reset_n;
4698
  wire             timer_1_s1_write_n;
4699
  wire    [ 15: 0] timer_1_s1_writedata;
4700
  wire    [ 11: 0] zs_addr_from_the_sdram_1;
4701
  wire    [  1: 0] zs_ba_from_the_sdram_1;
4702
  wire             zs_cas_n_from_the_sdram_1;
4703
  wire             zs_cke_from_the_sdram_1;
4704
  wire             zs_cs_n_from_the_sdram_1;
4705
  wire    [ 15: 0] zs_dq_to_and_from_the_sdram_1;
4706
  wire    [  1: 0] zs_dqm_from_the_sdram_1;
4707
  wire             zs_ras_n_from_the_sdram_1;
4708
  wire             zs_we_n_from_the_sdram_1;
4709
  cpu_1_jtag_debug_module_arbitrator the_cpu_1_jtag_debug_module
4710
    (
4711
      .clk                                                                (clk_0),
4712
      .cpu_1_data_master_address_to_slave                                 (cpu_1_data_master_address_to_slave),
4713
      .cpu_1_data_master_byteenable                                       (cpu_1_data_master_byteenable),
4714
      .cpu_1_data_master_debugaccess                                      (cpu_1_data_master_debugaccess),
4715
      .cpu_1_data_master_granted_cpu_1_jtag_debug_module                  (cpu_1_data_master_granted_cpu_1_jtag_debug_module),
4716
      .cpu_1_data_master_latency_counter                                  (cpu_1_data_master_latency_counter),
4717
      .cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module        (cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module),
4718
      .cpu_1_data_master_read                                             (cpu_1_data_master_read),
4719
      .cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module          (cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module),
4720
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register        (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
4721
      .cpu_1_data_master_requests_cpu_1_jtag_debug_module                 (cpu_1_data_master_requests_cpu_1_jtag_debug_module),
4722
      .cpu_1_data_master_write                                            (cpu_1_data_master_write),
4723
      .cpu_1_data_master_writedata                                        (cpu_1_data_master_writedata),
4724
      .cpu_1_instruction_master_address_to_slave                          (cpu_1_instruction_master_address_to_slave),
4725
      .cpu_1_instruction_master_granted_cpu_1_jtag_debug_module           (cpu_1_instruction_master_granted_cpu_1_jtag_debug_module),
4726
      .cpu_1_instruction_master_latency_counter                           (cpu_1_instruction_master_latency_counter),
4727
      .cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module (cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module),
4728
      .cpu_1_instruction_master_read                                      (cpu_1_instruction_master_read),
4729
      .cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module   (cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module),
4730
      .cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register (cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register),
4731
      .cpu_1_instruction_master_requests_cpu_1_jtag_debug_module          (cpu_1_instruction_master_requests_cpu_1_jtag_debug_module),
4732
      .cpu_1_jtag_debug_module_address                                    (cpu_1_jtag_debug_module_address),
4733
      .cpu_1_jtag_debug_module_begintransfer                              (cpu_1_jtag_debug_module_begintransfer),
4734
      .cpu_1_jtag_debug_module_byteenable                                 (cpu_1_jtag_debug_module_byteenable),
4735
      .cpu_1_jtag_debug_module_chipselect                                 (cpu_1_jtag_debug_module_chipselect),
4736
      .cpu_1_jtag_debug_module_debugaccess                                (cpu_1_jtag_debug_module_debugaccess),
4737
      .cpu_1_jtag_debug_module_readdata                                   (cpu_1_jtag_debug_module_readdata),
4738
      .cpu_1_jtag_debug_module_readdata_from_sa                           (cpu_1_jtag_debug_module_readdata_from_sa),
4739
      .cpu_1_jtag_debug_module_reset_n                                    (cpu_1_jtag_debug_module_reset_n),
4740
      .cpu_1_jtag_debug_module_resetrequest                               (cpu_1_jtag_debug_module_resetrequest),
4741
      .cpu_1_jtag_debug_module_resetrequest_from_sa                       (cpu_1_jtag_debug_module_resetrequest_from_sa),
4742
      .cpu_1_jtag_debug_module_write                                      (cpu_1_jtag_debug_module_write),
4743
      .cpu_1_jtag_debug_module_writedata                                  (cpu_1_jtag_debug_module_writedata),
4744
      .d1_cpu_1_jtag_debug_module_end_xfer                                (d1_cpu_1_jtag_debug_module_end_xfer),
4745
      .reset_n                                                            (clk_0_reset_n)
4746
    );
4747
 
4748
  cpu_1_data_master_arbitrator the_cpu_1_data_master
4749
    (
4750
      .clk                                                               (clk_0),
4751
      .cpu_1_data_master_address                                         (cpu_1_data_master_address),
4752
      .cpu_1_data_master_address_to_slave                                (cpu_1_data_master_address_to_slave),
4753
      .cpu_1_data_master_byteenable                                      (cpu_1_data_master_byteenable),
4754
      .cpu_1_data_master_byteenable_sdram_1_s1                           (cpu_1_data_master_byteenable_sdram_1_s1),
4755
      .cpu_1_data_master_dbs_address                                     (cpu_1_data_master_dbs_address),
4756
      .cpu_1_data_master_dbs_write_16                                    (cpu_1_data_master_dbs_write_16),
4757
      .cpu_1_data_master_granted_cpu_1_jtag_debug_module                 (cpu_1_data_master_granted_cpu_1_jtag_debug_module),
4758
      .cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0            (cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0),
4759
      .cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave           (cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave),
4760
      .cpu_1_data_master_granted_onchip_memory_1_s2                      (cpu_1_data_master_granted_onchip_memory_1_s2),
4761
      .cpu_1_data_master_granted_sdram_1_s1                              (cpu_1_data_master_granted_sdram_1_s1),
4762
      .cpu_1_data_master_granted_timer_1_s1                              (cpu_1_data_master_granted_timer_1_s1),
4763
      .cpu_1_data_master_irq                                             (cpu_1_data_master_irq),
4764
      .cpu_1_data_master_latency_counter                                 (cpu_1_data_master_latency_counter),
4765
      .cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module       (cpu_1_data_master_qualified_request_cpu_1_jtag_debug_module),
4766
      .cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0  (cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0),
4767
      .cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave (cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave),
4768
      .cpu_1_data_master_qualified_request_onchip_memory_1_s2            (cpu_1_data_master_qualified_request_onchip_memory_1_s2),
4769
      .cpu_1_data_master_qualified_request_sdram_1_s1                    (cpu_1_data_master_qualified_request_sdram_1_s1),
4770
      .cpu_1_data_master_qualified_request_timer_1_s1                    (cpu_1_data_master_qualified_request_timer_1_s1),
4771
      .cpu_1_data_master_read                                            (cpu_1_data_master_read),
4772
      .cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module         (cpu_1_data_master_read_data_valid_cpu_1_jtag_debug_module),
4773
      .cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0    (cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0),
4774
      .cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave   (cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave),
4775
      .cpu_1_data_master_read_data_valid_onchip_memory_1_s2              (cpu_1_data_master_read_data_valid_onchip_memory_1_s2),
4776
      .cpu_1_data_master_read_data_valid_sdram_1_s1                      (cpu_1_data_master_read_data_valid_sdram_1_s1),
4777
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register       (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
4778
      .cpu_1_data_master_read_data_valid_timer_1_s1                      (cpu_1_data_master_read_data_valid_timer_1_s1),
4779
      .cpu_1_data_master_readdata                                        (cpu_1_data_master_readdata),
4780
      .cpu_1_data_master_readdatavalid                                   (cpu_1_data_master_readdatavalid),
4781
      .cpu_1_data_master_requests_cpu_1_jtag_debug_module                (cpu_1_data_master_requests_cpu_1_jtag_debug_module),
4782
      .cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0           (cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0),
4783
      .cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave          (cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave),
4784
      .cpu_1_data_master_requests_onchip_memory_1_s2                     (cpu_1_data_master_requests_onchip_memory_1_s2),
4785
      .cpu_1_data_master_requests_sdram_1_s1                             (cpu_1_data_master_requests_sdram_1_s1),
4786
      .cpu_1_data_master_requests_timer_1_s1                             (cpu_1_data_master_requests_timer_1_s1),
4787
      .cpu_1_data_master_waitrequest                                     (cpu_1_data_master_waitrequest),
4788
      .cpu_1_data_master_write                                           (cpu_1_data_master_write),
4789
      .cpu_1_data_master_writedata                                       (cpu_1_data_master_writedata),
4790
      .cpu_1_jtag_debug_module_readdata_from_sa                          (cpu_1_jtag_debug_module_readdata_from_sa),
4791
      .d1_cpu_1_jtag_debug_module_end_xfer                               (d1_cpu_1_jtag_debug_module_end_xfer),
4792
      .d1_hibi_pe_dma_1_avalon_slave_0_end_xfer                          (d1_hibi_pe_dma_1_avalon_slave_0_end_xfer),
4793
      .d1_jtag_uart_1_avalon_jtag_slave_end_xfer                         (d1_jtag_uart_1_avalon_jtag_slave_end_xfer),
4794
      .d1_onchip_memory_1_s2_end_xfer                                    (d1_onchip_memory_1_s2_end_xfer),
4795
      .d1_sdram_1_s1_end_xfer                                            (d1_sdram_1_s1_end_xfer),
4796
      .d1_timer_1_s1_end_xfer                                            (d1_timer_1_s1_end_xfer),
4797
      .hibi_pe_dma_1_avalon_slave_0_irq_from_sa                          (hibi_pe_dma_1_avalon_slave_0_irq_from_sa),
4798
      .hibi_pe_dma_1_avalon_slave_0_readdata_from_sa                     (hibi_pe_dma_1_avalon_slave_0_readdata_from_sa),
4799
      .hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa                  (hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa),
4800
      .jtag_uart_1_avalon_jtag_slave_irq_from_sa                         (jtag_uart_1_avalon_jtag_slave_irq_from_sa),
4801
      .jtag_uart_1_avalon_jtag_slave_readdata_from_sa                    (jtag_uart_1_avalon_jtag_slave_readdata_from_sa),
4802
      .jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa                 (jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa),
4803
      .onchip_memory_1_s2_readdata_from_sa                               (onchip_memory_1_s2_readdata_from_sa),
4804
      .reset_n                                                           (clk_0_reset_n),
4805
      .sdram_1_s1_readdata_from_sa                                       (sdram_1_s1_readdata_from_sa),
4806
      .sdram_1_s1_waitrequest_from_sa                                    (sdram_1_s1_waitrequest_from_sa),
4807
      .timer_1_s1_irq_from_sa                                            (timer_1_s1_irq_from_sa),
4808
      .timer_1_s1_readdata_from_sa                                       (timer_1_s1_readdata_from_sa)
4809
    );
4810
 
4811
  cpu_1_instruction_master_arbitrator the_cpu_1_instruction_master
4812
    (
4813
      .clk                                                                (clk_0),
4814
      .cpu_1_instruction_master_address                                   (cpu_1_instruction_master_address),
4815
      .cpu_1_instruction_master_address_to_slave                          (cpu_1_instruction_master_address_to_slave),
4816
      .cpu_1_instruction_master_dbs_address                               (cpu_1_instruction_master_dbs_address),
4817
      .cpu_1_instruction_master_granted_cpu_1_jtag_debug_module           (cpu_1_instruction_master_granted_cpu_1_jtag_debug_module),
4818
      .cpu_1_instruction_master_granted_sdram_1_s1                        (cpu_1_instruction_master_granted_sdram_1_s1),
4819
      .cpu_1_instruction_master_latency_counter                           (cpu_1_instruction_master_latency_counter),
4820
      .cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module (cpu_1_instruction_master_qualified_request_cpu_1_jtag_debug_module),
4821
      .cpu_1_instruction_master_qualified_request_sdram_1_s1              (cpu_1_instruction_master_qualified_request_sdram_1_s1),
4822
      .cpu_1_instruction_master_read                                      (cpu_1_instruction_master_read),
4823
      .cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module   (cpu_1_instruction_master_read_data_valid_cpu_1_jtag_debug_module),
4824
      .cpu_1_instruction_master_read_data_valid_sdram_1_s1                (cpu_1_instruction_master_read_data_valid_sdram_1_s1),
4825
      .cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register (cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register),
4826
      .cpu_1_instruction_master_readdata                                  (cpu_1_instruction_master_readdata),
4827
      .cpu_1_instruction_master_readdatavalid                             (cpu_1_instruction_master_readdatavalid),
4828
      .cpu_1_instruction_master_requests_cpu_1_jtag_debug_module          (cpu_1_instruction_master_requests_cpu_1_jtag_debug_module),
4829
      .cpu_1_instruction_master_requests_sdram_1_s1                       (cpu_1_instruction_master_requests_sdram_1_s1),
4830
      .cpu_1_instruction_master_waitrequest                               (cpu_1_instruction_master_waitrequest),
4831
      .cpu_1_jtag_debug_module_readdata_from_sa                           (cpu_1_jtag_debug_module_readdata_from_sa),
4832
      .d1_cpu_1_jtag_debug_module_end_xfer                                (d1_cpu_1_jtag_debug_module_end_xfer),
4833
      .d1_sdram_1_s1_end_xfer                                             (d1_sdram_1_s1_end_xfer),
4834
      .reset_n                                                            (clk_0_reset_n),
4835
      .sdram_1_s1_readdata_from_sa                                        (sdram_1_s1_readdata_from_sa),
4836
      .sdram_1_s1_waitrequest_from_sa                                     (sdram_1_s1_waitrequest_from_sa)
4837
    );
4838
 
4839
  cpu_1 the_cpu_1
4840
    (
4841
      .clk                                   (clk_0),
4842
      .d_address                             (cpu_1_data_master_address),
4843
      .d_byteenable                          (cpu_1_data_master_byteenable),
4844
      .d_irq                                 (cpu_1_data_master_irq),
4845
      .d_read                                (cpu_1_data_master_read),
4846
      .d_readdata                            (cpu_1_data_master_readdata),
4847
      .d_readdatavalid                       (cpu_1_data_master_readdatavalid),
4848
      .d_waitrequest                         (cpu_1_data_master_waitrequest),
4849
      .d_write                               (cpu_1_data_master_write),
4850
      .d_writedata                           (cpu_1_data_master_writedata),
4851
      .i_address                             (cpu_1_instruction_master_address),
4852
      .i_read                                (cpu_1_instruction_master_read),
4853
      .i_readdata                            (cpu_1_instruction_master_readdata),
4854
      .i_readdatavalid                       (cpu_1_instruction_master_readdatavalid),
4855
      .i_waitrequest                         (cpu_1_instruction_master_waitrequest),
4856
      .jtag_debug_module_address             (cpu_1_jtag_debug_module_address),
4857
      .jtag_debug_module_begintransfer       (cpu_1_jtag_debug_module_begintransfer),
4858
      .jtag_debug_module_byteenable          (cpu_1_jtag_debug_module_byteenable),
4859
      .jtag_debug_module_debugaccess         (cpu_1_jtag_debug_module_debugaccess),
4860
      .jtag_debug_module_debugaccess_to_roms (cpu_1_data_master_debugaccess),
4861
      .jtag_debug_module_readdata            (cpu_1_jtag_debug_module_readdata),
4862
      .jtag_debug_module_resetrequest        (cpu_1_jtag_debug_module_resetrequest),
4863
      .jtag_debug_module_select              (cpu_1_jtag_debug_module_chipselect),
4864
      .jtag_debug_module_write               (cpu_1_jtag_debug_module_write),
4865
      .jtag_debug_module_writedata           (cpu_1_jtag_debug_module_writedata),
4866
      .reset_n                               (cpu_1_jtag_debug_module_reset_n)
4867
    );
4868
 
4869
  hibi_pe_dma_1_avalon_slave_0_arbitrator the_hibi_pe_dma_1_avalon_slave_0
4870
    (
4871
      .clk                                                              (clk_0),
4872
      .cpu_1_data_master_address_to_slave                               (cpu_1_data_master_address_to_slave),
4873
      .cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0           (cpu_1_data_master_granted_hibi_pe_dma_1_avalon_slave_0),
4874
      .cpu_1_data_master_latency_counter                                (cpu_1_data_master_latency_counter),
4875
      .cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0 (cpu_1_data_master_qualified_request_hibi_pe_dma_1_avalon_slave_0),
4876
      .cpu_1_data_master_read                                           (cpu_1_data_master_read),
4877
      .cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0   (cpu_1_data_master_read_data_valid_hibi_pe_dma_1_avalon_slave_0),
4878
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register      (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
4879
      .cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0          (cpu_1_data_master_requests_hibi_pe_dma_1_avalon_slave_0),
4880
      .cpu_1_data_master_write                                          (cpu_1_data_master_write),
4881
      .cpu_1_data_master_writedata                                      (cpu_1_data_master_writedata),
4882
      .d1_hibi_pe_dma_1_avalon_slave_0_end_xfer                         (d1_hibi_pe_dma_1_avalon_slave_0_end_xfer),
4883
      .hibi_pe_dma_1_avalon_slave_0_address                             (hibi_pe_dma_1_avalon_slave_0_address),
4884
      .hibi_pe_dma_1_avalon_slave_0_chipselect                          (hibi_pe_dma_1_avalon_slave_0_chipselect),
4885
      .hibi_pe_dma_1_avalon_slave_0_irq                                 (hibi_pe_dma_1_avalon_slave_0_irq),
4886
      .hibi_pe_dma_1_avalon_slave_0_irq_from_sa                         (hibi_pe_dma_1_avalon_slave_0_irq_from_sa),
4887
      .hibi_pe_dma_1_avalon_slave_0_read                                (hibi_pe_dma_1_avalon_slave_0_read),
4888
      .hibi_pe_dma_1_avalon_slave_0_readdata                            (hibi_pe_dma_1_avalon_slave_0_readdata),
4889
      .hibi_pe_dma_1_avalon_slave_0_readdata_from_sa                    (hibi_pe_dma_1_avalon_slave_0_readdata_from_sa),
4890
      .hibi_pe_dma_1_avalon_slave_0_reset_n                             (hibi_pe_dma_1_avalon_slave_0_reset_n),
4891
      .hibi_pe_dma_1_avalon_slave_0_waitrequest                         (hibi_pe_dma_1_avalon_slave_0_waitrequest),
4892
      .hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa                 (hibi_pe_dma_1_avalon_slave_0_waitrequest_from_sa),
4893
      .hibi_pe_dma_1_avalon_slave_0_write                               (hibi_pe_dma_1_avalon_slave_0_write),
4894
      .hibi_pe_dma_1_avalon_slave_0_writedata                           (hibi_pe_dma_1_avalon_slave_0_writedata),
4895
      .reset_n                                                          (clk_0_reset_n)
4896
    );
4897
 
4898
  hibi_pe_dma_1_avalon_master_arbitrator the_hibi_pe_dma_1_avalon_master
4899
    (
4900
      .clk                                                              (clk_0),
4901
      .d1_onchip_memory_1_s1_end_xfer                                   (d1_onchip_memory_1_s1_end_xfer),
4902
      .hibi_pe_dma_1_avalon_master_address                              (hibi_pe_dma_1_avalon_master_address),
4903
      .hibi_pe_dma_1_avalon_master_address_to_slave                     (hibi_pe_dma_1_avalon_master_address_to_slave),
4904
      .hibi_pe_dma_1_avalon_master_byteenable                           (hibi_pe_dma_1_avalon_master_byteenable),
4905
      .hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1           (hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1),
4906
      .hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1 (hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1),
4907
      .hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1          (hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1),
4908
      .hibi_pe_dma_1_avalon_master_waitrequest                          (hibi_pe_dma_1_avalon_master_waitrequest),
4909
      .hibi_pe_dma_1_avalon_master_write                                (hibi_pe_dma_1_avalon_master_write),
4910
      .hibi_pe_dma_1_avalon_master_writedata                            (hibi_pe_dma_1_avalon_master_writedata),
4911
      .reset_n                                                          (clk_0_reset_n)
4912
    );
4913
 
4914
  hibi_pe_dma_1_avalon_master_1_arbitrator the_hibi_pe_dma_1_avalon_master_1
4915
    (
4916
      .clk                                                                (clk_0),
4917
      .d1_onchip_memory_1_s1_end_xfer                                     (d1_onchip_memory_1_s1_end_xfer),
4918
      .hibi_pe_dma_1_avalon_master_1_address                              (hibi_pe_dma_1_avalon_master_1_address),
4919
      .hibi_pe_dma_1_avalon_master_1_address_to_slave                     (hibi_pe_dma_1_avalon_master_1_address_to_slave),
4920
      .hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1           (hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1),
4921
      .hibi_pe_dma_1_avalon_master_1_latency_counter                      (hibi_pe_dma_1_avalon_master_1_latency_counter),
4922
      .hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1 (hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1),
4923
      .hibi_pe_dma_1_avalon_master_1_read                                 (hibi_pe_dma_1_avalon_master_1_read),
4924
      .hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1   (hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1),
4925
      .hibi_pe_dma_1_avalon_master_1_readdata                             (hibi_pe_dma_1_avalon_master_1_readdata),
4926
      .hibi_pe_dma_1_avalon_master_1_readdatavalid                        (hibi_pe_dma_1_avalon_master_1_readdatavalid),
4927
      .hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1          (hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1),
4928
      .hibi_pe_dma_1_avalon_master_1_waitrequest                          (hibi_pe_dma_1_avalon_master_1_waitrequest),
4929
      .onchip_memory_1_s1_readdata_from_sa                                (onchip_memory_1_s1_readdata_from_sa),
4930
      .reset_n                                                            (clk_0_reset_n)
4931
    );
4932
 
4933
  hibi_pe_dma_1 the_hibi_pe_dma_1
4934
    (
4935
      .avalon_addr_out_rx         (hibi_pe_dma_1_avalon_master_address),
4936
      .avalon_addr_out_tx         (hibi_pe_dma_1_avalon_master_1_address),
4937
      .avalon_be_out_rx           (hibi_pe_dma_1_avalon_master_byteenable),
4938
      .avalon_cfg_addr_in         (hibi_pe_dma_1_avalon_slave_0_address),
4939
      .avalon_cfg_cs_in           (hibi_pe_dma_1_avalon_slave_0_chipselect),
4940
      .avalon_cfg_re_in           (hibi_pe_dma_1_avalon_slave_0_read),
4941
      .avalon_cfg_readdata_out    (hibi_pe_dma_1_avalon_slave_0_readdata),
4942
      .avalon_cfg_waitrequest_out (hibi_pe_dma_1_avalon_slave_0_waitrequest),
4943
      .avalon_cfg_we_in           (hibi_pe_dma_1_avalon_slave_0_write),
4944
      .avalon_cfg_writedata_in    (hibi_pe_dma_1_avalon_slave_0_writedata),
4945
      .avalon_re_out_tx           (hibi_pe_dma_1_avalon_master_1_read),
4946
      .avalon_readdata_in_tx      (hibi_pe_dma_1_avalon_master_1_readdata),
4947
      .avalon_readdatavalid_in_tx (hibi_pe_dma_1_avalon_master_1_readdatavalid),
4948
      .avalon_waitrequest_in_rx   (hibi_pe_dma_1_avalon_master_waitrequest),
4949
      .avalon_waitrequest_in_tx   (hibi_pe_dma_1_avalon_master_1_waitrequest),
4950
      .avalon_we_out_rx           (hibi_pe_dma_1_avalon_master_write),
4951
      .avalon_writedata_out_rx    (hibi_pe_dma_1_avalon_master_writedata),
4952
      .clk                        (clk_0),
4953
      .hibi_av_in                 (hibi_av_in_to_the_hibi_pe_dma_1),
4954
      .hibi_av_out                (hibi_av_out_from_the_hibi_pe_dma_1),
4955
      .hibi_comm_in               (hibi_comm_in_to_the_hibi_pe_dma_1),
4956
      .hibi_comm_out              (hibi_comm_out_from_the_hibi_pe_dma_1),
4957
      .hibi_data_in               (hibi_data_in_to_the_hibi_pe_dma_1),
4958
      .hibi_data_out              (hibi_data_out_from_the_hibi_pe_dma_1),
4959
      .hibi_empty_in              (hibi_empty_in_to_the_hibi_pe_dma_1),
4960
      .hibi_full_in               (hibi_full_in_to_the_hibi_pe_dma_1),
4961
      .hibi_re_out                (hibi_re_out_from_the_hibi_pe_dma_1),
4962
      .hibi_we_out                (hibi_we_out_from_the_hibi_pe_dma_1),
4963
      .rst_n                      (hibi_pe_dma_1_avalon_slave_0_reset_n),
4964
      .rx_irq_out                 (hibi_pe_dma_1_avalon_slave_0_irq)
4965
    );
4966
 
4967
  jtag_uart_1_avalon_jtag_slave_arbitrator the_jtag_uart_1_avalon_jtag_slave
4968
    (
4969
      .clk                                                               (clk_0),
4970
      .cpu_1_data_master_address_to_slave                                (cpu_1_data_master_address_to_slave),
4971
      .cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave           (cpu_1_data_master_granted_jtag_uart_1_avalon_jtag_slave),
4972
      .cpu_1_data_master_latency_counter                                 (cpu_1_data_master_latency_counter),
4973
      .cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave (cpu_1_data_master_qualified_request_jtag_uart_1_avalon_jtag_slave),
4974
      .cpu_1_data_master_read                                            (cpu_1_data_master_read),
4975
      .cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave   (cpu_1_data_master_read_data_valid_jtag_uart_1_avalon_jtag_slave),
4976
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register       (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
4977
      .cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave          (cpu_1_data_master_requests_jtag_uart_1_avalon_jtag_slave),
4978
      .cpu_1_data_master_write                                           (cpu_1_data_master_write),
4979
      .cpu_1_data_master_writedata                                       (cpu_1_data_master_writedata),
4980
      .d1_jtag_uart_1_avalon_jtag_slave_end_xfer                         (d1_jtag_uart_1_avalon_jtag_slave_end_xfer),
4981
      .jtag_uart_1_avalon_jtag_slave_address                             (jtag_uart_1_avalon_jtag_slave_address),
4982
      .jtag_uart_1_avalon_jtag_slave_chipselect                          (jtag_uart_1_avalon_jtag_slave_chipselect),
4983
      .jtag_uart_1_avalon_jtag_slave_dataavailable                       (jtag_uart_1_avalon_jtag_slave_dataavailable),
4984
      .jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa               (jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa),
4985
      .jtag_uart_1_avalon_jtag_slave_irq                                 (jtag_uart_1_avalon_jtag_slave_irq),
4986
      .jtag_uart_1_avalon_jtag_slave_irq_from_sa                         (jtag_uart_1_avalon_jtag_slave_irq_from_sa),
4987
      .jtag_uart_1_avalon_jtag_slave_read_n                              (jtag_uart_1_avalon_jtag_slave_read_n),
4988
      .jtag_uart_1_avalon_jtag_slave_readdata                            (jtag_uart_1_avalon_jtag_slave_readdata),
4989
      .jtag_uart_1_avalon_jtag_slave_readdata_from_sa                    (jtag_uart_1_avalon_jtag_slave_readdata_from_sa),
4990
      .jtag_uart_1_avalon_jtag_slave_readyfordata                        (jtag_uart_1_avalon_jtag_slave_readyfordata),
4991
      .jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa                (jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa),
4992
      .jtag_uart_1_avalon_jtag_slave_reset_n                             (jtag_uart_1_avalon_jtag_slave_reset_n),
4993
      .jtag_uart_1_avalon_jtag_slave_waitrequest                         (jtag_uart_1_avalon_jtag_slave_waitrequest),
4994
      .jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa                 (jtag_uart_1_avalon_jtag_slave_waitrequest_from_sa),
4995
      .jtag_uart_1_avalon_jtag_slave_write_n                             (jtag_uart_1_avalon_jtag_slave_write_n),
4996
      .jtag_uart_1_avalon_jtag_slave_writedata                           (jtag_uart_1_avalon_jtag_slave_writedata),
4997
      .reset_n                                                           (clk_0_reset_n)
4998
    );
4999
 
5000
  jtag_uart_1 the_jtag_uart_1
5001
    (
5002
      .av_address     (jtag_uart_1_avalon_jtag_slave_address),
5003
      .av_chipselect  (jtag_uart_1_avalon_jtag_slave_chipselect),
5004
      .av_irq         (jtag_uart_1_avalon_jtag_slave_irq),
5005
      .av_read_n      (jtag_uart_1_avalon_jtag_slave_read_n),
5006
      .av_readdata    (jtag_uart_1_avalon_jtag_slave_readdata),
5007
      .av_waitrequest (jtag_uart_1_avalon_jtag_slave_waitrequest),
5008
      .av_write_n     (jtag_uart_1_avalon_jtag_slave_write_n),
5009
      .av_writedata   (jtag_uart_1_avalon_jtag_slave_writedata),
5010
      .clk            (clk_0),
5011
      .dataavailable  (jtag_uart_1_avalon_jtag_slave_dataavailable),
5012
      .readyfordata   (jtag_uart_1_avalon_jtag_slave_readyfordata),
5013
      .rst_n          (jtag_uart_1_avalon_jtag_slave_reset_n)
5014
    );
5015
 
5016
  onchip_memory_1_s1_arbitrator the_onchip_memory_1_s1
5017
    (
5018
      .clk                                                                (clk_0),
5019
      .d1_onchip_memory_1_s1_end_xfer                                     (d1_onchip_memory_1_s1_end_xfer),
5020
      .hibi_pe_dma_1_avalon_master_1_address_to_slave                     (hibi_pe_dma_1_avalon_master_1_address_to_slave),
5021
      .hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1           (hibi_pe_dma_1_avalon_master_1_granted_onchip_memory_1_s1),
5022
      .hibi_pe_dma_1_avalon_master_1_latency_counter                      (hibi_pe_dma_1_avalon_master_1_latency_counter),
5023
      .hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1 (hibi_pe_dma_1_avalon_master_1_qualified_request_onchip_memory_1_s1),
5024
      .hibi_pe_dma_1_avalon_master_1_read                                 (hibi_pe_dma_1_avalon_master_1_read),
5025
      .hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1   (hibi_pe_dma_1_avalon_master_1_read_data_valid_onchip_memory_1_s1),
5026
      .hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1          (hibi_pe_dma_1_avalon_master_1_requests_onchip_memory_1_s1),
5027
      .hibi_pe_dma_1_avalon_master_address_to_slave                       (hibi_pe_dma_1_avalon_master_address_to_slave),
5028
      .hibi_pe_dma_1_avalon_master_byteenable                             (hibi_pe_dma_1_avalon_master_byteenable),
5029
      .hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1             (hibi_pe_dma_1_avalon_master_granted_onchip_memory_1_s1),
5030
      .hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1   (hibi_pe_dma_1_avalon_master_qualified_request_onchip_memory_1_s1),
5031
      .hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1            (hibi_pe_dma_1_avalon_master_requests_onchip_memory_1_s1),
5032
      .hibi_pe_dma_1_avalon_master_write                                  (hibi_pe_dma_1_avalon_master_write),
5033
      .hibi_pe_dma_1_avalon_master_writedata                              (hibi_pe_dma_1_avalon_master_writedata),
5034
      .onchip_memory_1_s1_address                                         (onchip_memory_1_s1_address),
5035
      .onchip_memory_1_s1_byteenable                                      (onchip_memory_1_s1_byteenable),
5036
      .onchip_memory_1_s1_chipselect                                      (onchip_memory_1_s1_chipselect),
5037
      .onchip_memory_1_s1_clken                                           (onchip_memory_1_s1_clken),
5038
      .onchip_memory_1_s1_readdata                                        (onchip_memory_1_s1_readdata),
5039
      .onchip_memory_1_s1_readdata_from_sa                                (onchip_memory_1_s1_readdata_from_sa),
5040
      .onchip_memory_1_s1_reset                                           (onchip_memory_1_s1_reset),
5041
      .onchip_memory_1_s1_write                                           (onchip_memory_1_s1_write),
5042
      .onchip_memory_1_s1_writedata                                       (onchip_memory_1_s1_writedata),
5043
      .reset_n                                                            (clk_0_reset_n)
5044
    );
5045
 
5046
  onchip_memory_1_s2_arbitrator the_onchip_memory_1_s2
5047
    (
5048
      .clk                                                         (clk_0),
5049
      .cpu_1_data_master_address_to_slave                          (cpu_1_data_master_address_to_slave),
5050
      .cpu_1_data_master_byteenable                                (cpu_1_data_master_byteenable),
5051
      .cpu_1_data_master_granted_onchip_memory_1_s2                (cpu_1_data_master_granted_onchip_memory_1_s2),
5052
      .cpu_1_data_master_latency_counter                           (cpu_1_data_master_latency_counter),
5053
      .cpu_1_data_master_qualified_request_onchip_memory_1_s2      (cpu_1_data_master_qualified_request_onchip_memory_1_s2),
5054
      .cpu_1_data_master_read                                      (cpu_1_data_master_read),
5055
      .cpu_1_data_master_read_data_valid_onchip_memory_1_s2        (cpu_1_data_master_read_data_valid_onchip_memory_1_s2),
5056
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
5057
      .cpu_1_data_master_requests_onchip_memory_1_s2               (cpu_1_data_master_requests_onchip_memory_1_s2),
5058
      .cpu_1_data_master_write                                     (cpu_1_data_master_write),
5059
      .cpu_1_data_master_writedata                                 (cpu_1_data_master_writedata),
5060
      .d1_onchip_memory_1_s2_end_xfer                              (d1_onchip_memory_1_s2_end_xfer),
5061
      .onchip_memory_1_s2_address                                  (onchip_memory_1_s2_address),
5062
      .onchip_memory_1_s2_byteenable                               (onchip_memory_1_s2_byteenable),
5063
      .onchip_memory_1_s2_chipselect                               (onchip_memory_1_s2_chipselect),
5064
      .onchip_memory_1_s2_clken                                    (onchip_memory_1_s2_clken),
5065
      .onchip_memory_1_s2_readdata                                 (onchip_memory_1_s2_readdata),
5066
      .onchip_memory_1_s2_readdata_from_sa                         (onchip_memory_1_s2_readdata_from_sa),
5067
      .onchip_memory_1_s2_reset                                    (onchip_memory_1_s2_reset),
5068
      .onchip_memory_1_s2_write                                    (onchip_memory_1_s2_write),
5069
      .onchip_memory_1_s2_writedata                                (onchip_memory_1_s2_writedata),
5070
      .reset_n                                                     (clk_0_reset_n)
5071
    );
5072
 
5073
  onchip_memory_1 the_onchip_memory_1
5074
    (
5075
      .address     (onchip_memory_1_s1_address),
5076
      .address2    (onchip_memory_1_s2_address),
5077
      .byteenable  (onchip_memory_1_s1_byteenable),
5078
      .byteenable2 (onchip_memory_1_s2_byteenable),
5079
      .chipselect  (onchip_memory_1_s1_chipselect),
5080
      .chipselect2 (onchip_memory_1_s2_chipselect),
5081
      .clk         (clk_0),
5082
      .clk2        (clk_0),
5083
      .clken       (onchip_memory_1_s1_clken),
5084
      .clken2      (onchip_memory_1_s2_clken),
5085
      .readdata    (onchip_memory_1_s1_readdata),
5086
      .readdata2   (onchip_memory_1_s2_readdata),
5087
      .reset       (onchip_memory_1_s1_reset),
5088
      .reset2      (onchip_memory_1_s2_reset),
5089
      .write       (onchip_memory_1_s1_write),
5090
      .write2      (onchip_memory_1_s2_write),
5091
      .writedata   (onchip_memory_1_s1_writedata),
5092
      .writedata2  (onchip_memory_1_s2_writedata)
5093
    );
5094
 
5095
  sdram_1_s1_arbitrator the_sdram_1_s1
5096
    (
5097
      .clk                                                                (clk_0),
5098
      .cpu_1_data_master_address_to_slave                                 (cpu_1_data_master_address_to_slave),
5099
      .cpu_1_data_master_byteenable                                       (cpu_1_data_master_byteenable),
5100
      .cpu_1_data_master_byteenable_sdram_1_s1                            (cpu_1_data_master_byteenable_sdram_1_s1),
5101
      .cpu_1_data_master_dbs_address                                      (cpu_1_data_master_dbs_address),
5102
      .cpu_1_data_master_dbs_write_16                                     (cpu_1_data_master_dbs_write_16),
5103
      .cpu_1_data_master_granted_sdram_1_s1                               (cpu_1_data_master_granted_sdram_1_s1),
5104
      .cpu_1_data_master_latency_counter                                  (cpu_1_data_master_latency_counter),
5105
      .cpu_1_data_master_qualified_request_sdram_1_s1                     (cpu_1_data_master_qualified_request_sdram_1_s1),
5106
      .cpu_1_data_master_read                                             (cpu_1_data_master_read),
5107
      .cpu_1_data_master_read_data_valid_sdram_1_s1                       (cpu_1_data_master_read_data_valid_sdram_1_s1),
5108
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register        (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
5109
      .cpu_1_data_master_requests_sdram_1_s1                              (cpu_1_data_master_requests_sdram_1_s1),
5110
      .cpu_1_data_master_write                                            (cpu_1_data_master_write),
5111
      .cpu_1_instruction_master_address_to_slave                          (cpu_1_instruction_master_address_to_slave),
5112
      .cpu_1_instruction_master_dbs_address                               (cpu_1_instruction_master_dbs_address),
5113
      .cpu_1_instruction_master_granted_sdram_1_s1                        (cpu_1_instruction_master_granted_sdram_1_s1),
5114
      .cpu_1_instruction_master_latency_counter                           (cpu_1_instruction_master_latency_counter),
5115
      .cpu_1_instruction_master_qualified_request_sdram_1_s1              (cpu_1_instruction_master_qualified_request_sdram_1_s1),
5116
      .cpu_1_instruction_master_read                                      (cpu_1_instruction_master_read),
5117
      .cpu_1_instruction_master_read_data_valid_sdram_1_s1                (cpu_1_instruction_master_read_data_valid_sdram_1_s1),
5118
      .cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register (cpu_1_instruction_master_read_data_valid_sdram_1_s1_shift_register),
5119
      .cpu_1_instruction_master_requests_sdram_1_s1                       (cpu_1_instruction_master_requests_sdram_1_s1),
5120
      .d1_sdram_1_s1_end_xfer                                             (d1_sdram_1_s1_end_xfer),
5121
      .reset_n                                                            (clk_0_reset_n),
5122
      .sdram_1_s1_address                                                 (sdram_1_s1_address),
5123
      .sdram_1_s1_byteenable_n                                            (sdram_1_s1_byteenable_n),
5124
      .sdram_1_s1_chipselect                                              (sdram_1_s1_chipselect),
5125
      .sdram_1_s1_read_n                                                  (sdram_1_s1_read_n),
5126
      .sdram_1_s1_readdata                                                (sdram_1_s1_readdata),
5127
      .sdram_1_s1_readdata_from_sa                                        (sdram_1_s1_readdata_from_sa),
5128
      .sdram_1_s1_readdatavalid                                           (sdram_1_s1_readdatavalid),
5129
      .sdram_1_s1_reset_n                                                 (sdram_1_s1_reset_n),
5130
      .sdram_1_s1_waitrequest                                             (sdram_1_s1_waitrequest),
5131
      .sdram_1_s1_waitrequest_from_sa                                     (sdram_1_s1_waitrequest_from_sa),
5132
      .sdram_1_s1_write_n                                                 (sdram_1_s1_write_n),
5133
      .sdram_1_s1_writedata                                               (sdram_1_s1_writedata)
5134
    );
5135
 
5136
  sdram_1 the_sdram_1
5137
    (
5138
      .az_addr        (sdram_1_s1_address),
5139
      .az_be_n        (sdram_1_s1_byteenable_n),
5140
      .az_cs          (sdram_1_s1_chipselect),
5141
      .az_data        (sdram_1_s1_writedata),
5142
      .az_rd_n        (sdram_1_s1_read_n),
5143
      .az_wr_n        (sdram_1_s1_write_n),
5144
      .clk            (clk_0),
5145
      .reset_n        (sdram_1_s1_reset_n),
5146
      .za_data        (sdram_1_s1_readdata),
5147
      .za_valid       (sdram_1_s1_readdatavalid),
5148
      .za_waitrequest (sdram_1_s1_waitrequest),
5149
      .zs_addr        (zs_addr_from_the_sdram_1),
5150
      .zs_ba          (zs_ba_from_the_sdram_1),
5151
      .zs_cas_n       (zs_cas_n_from_the_sdram_1),
5152
      .zs_cke         (zs_cke_from_the_sdram_1),
5153
      .zs_cs_n        (zs_cs_n_from_the_sdram_1),
5154
      .zs_dq          (zs_dq_to_and_from_the_sdram_1),
5155
      .zs_dqm         (zs_dqm_from_the_sdram_1),
5156
      .zs_ras_n       (zs_ras_n_from_the_sdram_1),
5157
      .zs_we_n        (zs_we_n_from_the_sdram_1)
5158
    );
5159
 
5160
  timer_1_s1_arbitrator the_timer_1_s1
5161
    (
5162
      .clk                                                         (clk_0),
5163
      .cpu_1_data_master_address_to_slave                          (cpu_1_data_master_address_to_slave),
5164
      .cpu_1_data_master_granted_timer_1_s1                        (cpu_1_data_master_granted_timer_1_s1),
5165
      .cpu_1_data_master_latency_counter                           (cpu_1_data_master_latency_counter),
5166
      .cpu_1_data_master_qualified_request_timer_1_s1              (cpu_1_data_master_qualified_request_timer_1_s1),
5167
      .cpu_1_data_master_read                                      (cpu_1_data_master_read),
5168
      .cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register (cpu_1_data_master_read_data_valid_sdram_1_s1_shift_register),
5169
      .cpu_1_data_master_read_data_valid_timer_1_s1                (cpu_1_data_master_read_data_valid_timer_1_s1),
5170
      .cpu_1_data_master_requests_timer_1_s1                       (cpu_1_data_master_requests_timer_1_s1),
5171
      .cpu_1_data_master_write                                     (cpu_1_data_master_write),
5172
      .cpu_1_data_master_writedata                                 (cpu_1_data_master_writedata),
5173
      .d1_timer_1_s1_end_xfer                                      (d1_timer_1_s1_end_xfer),
5174
      .reset_n                                                     (clk_0_reset_n),
5175
      .timer_1_s1_address                                          (timer_1_s1_address),
5176
      .timer_1_s1_chipselect                                       (timer_1_s1_chipselect),
5177
      .timer_1_s1_irq                                              (timer_1_s1_irq),
5178
      .timer_1_s1_irq_from_sa                                      (timer_1_s1_irq_from_sa),
5179
      .timer_1_s1_readdata                                         (timer_1_s1_readdata),
5180
      .timer_1_s1_readdata_from_sa                                 (timer_1_s1_readdata_from_sa),
5181
      .timer_1_s1_reset_n                                          (timer_1_s1_reset_n),
5182
      .timer_1_s1_write_n                                          (timer_1_s1_write_n),
5183
      .timer_1_s1_writedata                                        (timer_1_s1_writedata)
5184
    );
5185
 
5186
  timer_1 the_timer_1
5187
    (
5188
      .address    (timer_1_s1_address),
5189
      .chipselect (timer_1_s1_chipselect),
5190
      .clk        (clk_0),
5191
      .irq        (timer_1_s1_irq),
5192
      .readdata   (timer_1_s1_readdata),
5193
      .reset_n    (timer_1_s1_reset_n),
5194
      .write_n    (timer_1_s1_write_n),
5195
      .writedata  (timer_1_s1_writedata)
5196
    );
5197
 
5198
  //reset is asserted asynchronously and deasserted synchronously
5199
  nios_ii_sdram_reset_clk_0_domain_synch_module nios_ii_sdram_reset_clk_0_domain_synch
5200
    (
5201
      .clk      (clk_0),
5202
      .data_in  (1'b1),
5203
      .data_out (clk_0_reset_n),
5204
      .reset_n  (reset_n_sources)
5205
    );
5206
 
5207
  //reset sources mux, which is an e_mux
5208
  assign reset_n_sources = ~(~reset_n |
5209
 
5210
    cpu_1_jtag_debug_module_resetrequest_from_sa |
5211
    cpu_1_jtag_debug_module_resetrequest_from_sa);
5212
 
5213
 
5214
endmodule
5215
 
5216
 
5217
//synthesis translate_off
5218
 
5219
 
5220
 
5221
// <ALTERA_NOTE> CODE INSERTED BETWEEN HERE
5222
 
5223
// AND HERE WILL BE PRESERVED </ALTERA_NOTE>
5224
 
5225
 
5226
// If user logic components use Altsync_Ram with convert_hex2ver.dll,
5227
// set USE_convert_hex2ver in the user comments section above
5228
 
5229
// `ifdef USE_convert_hex2ver
5230
// `else
5231
// `define NO_PLI 1
5232
// `endif
5233
 
5234
`include "c:/altera/11.0/quartus/eda/sim_lib/altera_mf.v"
5235
`include "c:/altera/11.0/quartus/eda/sim_lib/220model.v"
5236
`include "c:/altera/11.0/quartus/eda/sim_lib/sgate.v"
5237
// C:/Users/lauri/ncit_summer_school/repos/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hpd_tx_control.vhd
5238
// C:/Users/lauri/ncit_summer_school/repos/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hpd_rx_packet.vhd
5239
// C:/Users/lauri/ncit_summer_school/repos/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hpd_rx_stream.vhd
5240
// C:/Users/lauri/ncit_summer_school/repos/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hpd_rx_and_conf.vhd
5241
// C:/Users/lauri/ncit_summer_school/repos/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hibi_pe_dma.vhd
5242
// hibi_pe_dma_1.vhd
5243
`include "jtag_uart_1.v"
5244
`include "onchip_memory_1.v"
5245
`include "sdram_1.v"
5246
`include "timer_1.v"
5247
`include "cpu_1_test_bench.v"
5248
`include "cpu_1_mult_cell.v"
5249
`include "cpu_1_oci_test_bench.v"
5250
`include "cpu_1_jtag_debug_module_tck.v"
5251
`include "cpu_1_jtag_debug_module_sysclk.v"
5252
`include "cpu_1_jtag_debug_module_wrapper.v"
5253
`include "cpu_1.v"
5254
 
5255
`timescale 1ns / 1ps
5256
 
5257
module test_bench
5258
;
5259
 
5260
 
5261
  wire             clk;
5262
  reg              clk_0;
5263
  wire             hibi_av_in_to_the_hibi_pe_dma_1;
5264
  wire             hibi_av_out_from_the_hibi_pe_dma_1;
5265
  wire    [  4: 0] hibi_comm_in_to_the_hibi_pe_dma_1;
5266
  wire    [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_1;
5267
  wire    [ 31: 0] hibi_data_in_to_the_hibi_pe_dma_1;
5268
  wire    [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_1;
5269
  wire             hibi_empty_in_to_the_hibi_pe_dma_1;
5270
  wire             hibi_full_in_to_the_hibi_pe_dma_1;
5271
  wire             hibi_re_out_from_the_hibi_pe_dma_1;
5272
  wire             hibi_we_out_from_the_hibi_pe_dma_1;
5273
  wire             jtag_uart_1_avalon_jtag_slave_dataavailable_from_sa;
5274
  wire             jtag_uart_1_avalon_jtag_slave_readyfordata_from_sa;
5275
  reg              reset_n;
5276
  wire    [ 11: 0] zs_addr_from_the_sdram_1;
5277
  wire    [  1: 0] zs_ba_from_the_sdram_1;
5278
  wire             zs_cas_n_from_the_sdram_1;
5279
  wire             zs_cke_from_the_sdram_1;
5280
  wire             zs_cs_n_from_the_sdram_1;
5281
  wire    [ 15: 0] zs_dq_to_and_from_the_sdram_1;
5282
  wire    [  1: 0] zs_dqm_from_the_sdram_1;
5283
  wire             zs_ras_n_from_the_sdram_1;
5284
  wire             zs_we_n_from_the_sdram_1;
5285
 
5286
 
5287
// <ALTERA_NOTE> CODE INSERTED BETWEEN HERE
5288
//  add your signals and additional architecture here
5289
// AND HERE WILL BE PRESERVED </ALTERA_NOTE>
5290
 
5291
  //Set us up the Dut
5292
  nios_ii_sdram DUT
5293
    (
5294
      .clk_0                                (clk_0),
5295
      .hibi_av_in_to_the_hibi_pe_dma_1      (hibi_av_in_to_the_hibi_pe_dma_1),
5296
      .hibi_av_out_from_the_hibi_pe_dma_1   (hibi_av_out_from_the_hibi_pe_dma_1),
5297
      .hibi_comm_in_to_the_hibi_pe_dma_1    (hibi_comm_in_to_the_hibi_pe_dma_1),
5298
      .hibi_comm_out_from_the_hibi_pe_dma_1 (hibi_comm_out_from_the_hibi_pe_dma_1),
5299
      .hibi_data_in_to_the_hibi_pe_dma_1    (hibi_data_in_to_the_hibi_pe_dma_1),
5300
      .hibi_data_out_from_the_hibi_pe_dma_1 (hibi_data_out_from_the_hibi_pe_dma_1),
5301
      .hibi_empty_in_to_the_hibi_pe_dma_1   (hibi_empty_in_to_the_hibi_pe_dma_1),
5302
      .hibi_full_in_to_the_hibi_pe_dma_1    (hibi_full_in_to_the_hibi_pe_dma_1),
5303
      .hibi_re_out_from_the_hibi_pe_dma_1   (hibi_re_out_from_the_hibi_pe_dma_1),
5304
      .hibi_we_out_from_the_hibi_pe_dma_1   (hibi_we_out_from_the_hibi_pe_dma_1),
5305
      .reset_n                              (reset_n),
5306
      .zs_addr_from_the_sdram_1             (zs_addr_from_the_sdram_1),
5307
      .zs_ba_from_the_sdram_1               (zs_ba_from_the_sdram_1),
5308
      .zs_cas_n_from_the_sdram_1            (zs_cas_n_from_the_sdram_1),
5309
      .zs_cke_from_the_sdram_1              (zs_cke_from_the_sdram_1),
5310
      .zs_cs_n_from_the_sdram_1             (zs_cs_n_from_the_sdram_1),
5311
      .zs_dq_to_and_from_the_sdram_1        (zs_dq_to_and_from_the_sdram_1),
5312
      .zs_dqm_from_the_sdram_1              (zs_dqm_from_the_sdram_1),
5313
      .zs_ras_n_from_the_sdram_1            (zs_ras_n_from_the_sdram_1),
5314
      .zs_we_n_from_the_sdram_1             (zs_we_n_from_the_sdram_1)
5315
    );
5316
 
5317
  initial
5318
    clk_0 = 1'b0;
5319
  always
5320
    #10 clk_0 <= ~clk_0;
5321
 
5322
  initial
5323
    begin
5324
      reset_n <= 0;
5325
      #200 reset_n <= 1;
5326
    end
5327
 
5328
endmodule
5329
 
5330
 
5331
//synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.