OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [G729A_asip_adder_f.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- 'Fast' adder (carry-select style)
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use WORK.G729A_ASIP_PKG.all;
38
use WORK.G729A_ASIP_BASIC_PKG.all;
39
use WORK.G729A_ASIP_ARITH_PKG.all;
40
 
41
entity G729A_ASIP_ADDER_F is
42
  generic(
43
    LEN1 : integer := 16;
44
    LEN2 : integer := 16
45
  );
46
  port(
47
    OPA_i : in signed(LEN1+LEN2-1 downto 0);
48
    OPB_i : in signed(LEN1+LEN2-1 downto 0);
49
    CI_i : in std_logic;
50
 
51
    SUM_o : out signed(LEN1+LEN2-1 downto 0)
52
  );
53
end G729A_ASIP_ADDER_F;
54
 
55
 
56
architecture ARC of G729A_ASIP_ADDER_F is
57
 
58
begin
59
 
60
  process(OPA_i,OPB_i,CI_i)
61
    variable A_LO,B_LO : signed(LEN1-1 downto 0);
62
    variable A_HI,B_HI : signed(LEN2-1 downto 0);
63
    variable SUM_LO : signed(LEN1+1 downto 0);
64
    variable SUM_HI0,SUM_HI1 : signed(LEN2 downto 0);
65
  begin
66
 
67
    A_LO := OPA_i(LEN1-1 downto 0);
68
    A_HI := OPA_i(LEN2+LEN1-1 downto LEN1);
69
 
70
    B_LO := OPB_i(LEN1-1 downto 0);
71
    B_HI := OPB_i(LEN2+LEN1-1 downto LEN1);
72
 
73
    -- low parts sum
74
    SUM_LO := ('0' & A_LO & '1') + ('0' & B_LO & CI_i);
75
 
76
    -- high parts sum (assuming carry-out is zero)
77
    SUM_HI0 := (A_HI & '0') + (B_HI & '0');
78
 
79
    -- high parts sum (assuming carry-out is one)
80
    SUM_HI1 := (A_HI & '1') + (B_HI & '1');
81
 
82
    -- SUM_o low part is low parts sum
83
    SUM_o(LEN1-1 downto 0) <= SUM_LO(LEN1 downto 1);
84
 
85
    -- select SUM_o high part according to low parts sum carry-out
86
    if(SUM_LO(LEN1+1) = '1') then
87
      SUM_o(LEN2+LEN1-1 downto LEN1) <= SUM_HI1(LEN2 downto 1);
88
    else
89
      SUM_o(LEN2+LEN1-1 downto LEN1) <= SUM_HI0(LEN2 downto 1);
90
    end if;
91
 
92
  end process;
93
 
94
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.