OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [G729A_asip_op_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729A ASIP ALU, B/J and load/store operations package
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use WORK.G729A_ASIP_PKG.all;
38
 
39
package G729A_ASIP_OP_PKG is
40
 
41
  -- Scalar ALU operation type
42
  type ALU_OP_T is (
43
    ALU_ABS,
44
    ALU_ADD,
45
    ALU_NEG,
46
    ALU_SUB,
47
    ALU_LABS,
48
    ALU_LADD,
49
    ALU_LNEG,
50
    ALU_LSUB,
51
    ALU_LEXT,
52
    ALU_RND,
53
    ALU_MUL,
54
    ALU_LMUL,
55
    ALU_MULA,
56
    ALU_SHL,
57
    ALU_SHR,
58
    ALU_LSHL,
59
    ALU_LSHR,
60
    ALU_NRMS,
61
    ALU_NRML,
62
    ALU_LMAC,
63
    ALU_LMSU,
64
    ALU_MULR,
65
    ALU_M32,
66
    ALU_M3216,
67
    ALU_AND,
68
    ALU_ANDL,
69
    ALU_ANDH,
70
    ALU_OR,
71
    ALU_ORL,
72
    ALU_ORH,
73
    ALU_ROVF,
74
    ALU_COVF,
75
    ALU_RACC,
76
    ALU_WACC,
77
    ALU_MOVA,
78
    ALU_MOVB,
79
    ALU_INC,
80
    ALU_DEC,
81
    ALU_NIL
82
  );
83
 
84
  type BJ_OP_T is (
85
    BJ_BEQ,
86
    BJ_BNE,
87
    BJ_JI,
88
    BJ_JIL,
89
    BJ_JR,
90
    BJ_JRL,
91
    BJ_BLEZ,
92
    BJ_BGTZ,
93
    BJ_BLTZ,
94
    BJ_BGEZ,
95
    BJ_LBLEZ,
96
    BJ_LBGTZ,
97
    BJ_LBLTZ,
98
    BJ_LBGEZ,
99
    BJ_NIL
100
  );
101
 
102
  type LS_OP_T is (
103
    LS_LD,
104
    LS_ST,
105
    LS_NIL
106
  );
107
 
108
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.