OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [G729A_codec_intf_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729a Codec interface package
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
use STD.textio.all;
36
 
37
package G729A_CODEC_INTF_PKG is
38
 
39
  -- codec status (output from codec)
40
  constant STS_IDLE : std_logic_vector(3-1 downto 0) := "000";
41
  constant STS_COD_DIN : std_logic_vector(3-1 downto 0) := "001";
42
  constant STS_COD_DOUT : std_logic_vector(3-1 downto 0) := "010";
43
  constant STS_DEC_DIN : std_logic_vector(3-1 downto 0) := "011";
44
  constant STS_DEC_DOUT : std_logic_vector(3-1 downto 0) := "100";
45
  constant STS_STT_DIN : std_logic_vector(3-1 downto 0) := "101";
46
  constant STS_STT_DOUT : std_logic_vector(3-1 downto 0) := "110";
47
  constant STS_PRUN : std_logic_vector(3-1 downto 0) := "111";
48
 
49
  -- operation selector (input to codec)
50
  constant RUNF : std_logic_vector(3-1 downto 0) := "000";
51
  constant INIT : std_logic_vector(3-1 downto 0) := "001";
52
  constant RSTS : std_logic_vector(3-1 downto 0) := "010";
53
  constant RUNC : std_logic_vector(3-1 downto 0) := "011";
54
  constant RUND : std_logic_vector(3-1 downto 0) := "100";
55
  constant SAVS : std_logic_vector(3-1 downto 0) := "101";
56
  constant NOP : std_logic_vector(3-1 downto 0) := "111";
57
 
58
end G729A_CODEC_INTF_PKG;
59
 
60
package body G729A_CODEC_INTF_PKG is
61
end G729A_CODEC_INTF_PKG;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.