OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib/] [SecAddrSaver.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: SecAddrSaver
18
-- Date:2011-11-11  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
entity SecAddrSaver is
29
        port (
30
                reset : in std_logic;
31
                ------------------- gpib ----------------------
32
                TADS : in std_logic;
33
                TPAS : in std_logic;
34
                LADS : in std_logic;
35
                LPAS : in std_logic;
36
                MSA_Dec : in std_logic;
37
                DI : in std_logic_vector(4 downto 0);
38
                currentSecAddr : out std_logic_vector(4 downto 0)
39
        );
40
end SecAddrSaver;
41
 
42
architecture arch of SecAddrSaver is
43
 
44
        signal goToSecAddressed : std_logic;
45
 
46
begin
47
 
48
        goToSecAddressed <= MSA_Dec and ((TADS and TPAS) or (LADS and LPAS));
49
 
50
        -- save secondary address
51
        process (reset, goToSecAddressed) begin
52
                if(reset = '1') then
53
                        currentSecAddr <= (others => '0');
54
                elsif rising_edge(goToSecAddressed) then
55
                        currentSecAddr <= DI(4 downto 0);
56
                end if;
57
        end process;
58
 
59
end arch;
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.