OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [GpibStatusReg.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: SettingsReg0
18
-- Date:2011-11-09  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.std_logic_unsigned.all;
31
 
32
entity GpibStatusReg is
33
        port (
34
                data_out : out std_logic_vector (15 downto 0);
35
                -- gpib
36
                currentSecAddr : in std_logic_vector (4 downto 0); -- current sec addr
37
                att : in std_logic; -- addressed to talk(L or LE)
38
                tac : in std_logic; -- talker active (T, TE)
39
                atl : in std_logic; -- addressed to listen (T or TE)
40
                lac : in std_logic; -- listener active (L, LE)
41
                cwrc : in std_logic; -- controller write commands
42
                cwrd : in std_logic; -- controller write data
43
                spa : in std_logic; -- seriall poll active
44
                isLocal : in std_logic -- device is local controlled
45
        );
46
end GpibStatusReg;
47
 
48
architecture arch of GpibStatusReg is
49
 
50
begin
51
 
52
        data_out(4 downto 0) <= currentSecAddr;
53
        data_out(5) <= att;
54
        data_out(6) <= tac;
55
        data_out(7) <= atl;
56
        data_out(8) <= lac;
57
        data_out(9) <= cwrc;
58
        data_out(10) <= cwrd;
59
        data_out(11) <= spa;
60
        data_out(12) <= isLocal;
61
        data_out(15 downto 13) <= "000";
62
 
63
end arch;
64
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.