OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [RegsGpibFasade.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: RegsGpibFasade
18
-- Date:2011-11-17  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
use work.gpibComponents.all;
28
use work.helperComponents.all;
29
use work.wrapperComponents.all;
30
 
31
 
32
entity RegsGpibFasade is
33
        port (
34
                reset : std_logic;
35
                clk : in std_logic;
36
                -----------------------------------------------------------------------
37
                ------------ GPIB interface signals -----------------------------------
38
                -----------------------------------------------------------------------
39
                DI : in std_logic_vector (7 downto 0);
40
                DO : out std_logic_vector (7 downto 0);
41
                output_valid : out std_logic;
42
                -- attention
43
                ATN_in : in std_logic;
44
                ATN_out : out std_logic;
45
                -- data valid
46
                DAV_in : in std_logic;
47
                DAV_out : out std_logic;
48
                -- not ready for data
49
                NRFD_in : in std_logic;
50
                NRFD_out : out std_logic;
51
                -- no data accepted
52
                NDAC_in : in std_logic;
53
                NDAC_out : out std_logic;
54
                -- end or identify
55
                EOI_in : in std_logic;
56
                EOI_out : out std_logic;
57
                -- service request
58
                SRQ_in : in std_logic;
59
                SRQ_out : out std_logic;
60
                -- interface clear
61
                IFC_in : in std_logic;
62
                IFC_out : out std_logic;
63
                -- remote enable
64
                REN_in : in std_logic;
65
                REN_out : out std_logic;
66
                -----------------------------------------------------------------------
67
                ---------------- registers access -------------------------------------
68
                -----------------------------------------------------------------------
69
                data_in : in std_logic_vector(15 downto 0);
70
                data_out : out std_logic_vector(15 downto 0);
71
                reg_addr : in std_logic_vector(14 downto 0);
72
                strobe_read : in std_logic;
73
                strobe_write : in std_logic;
74
                -----------------------------------------------------------------------
75
                ---------------- additional lines -------------------------------------
76
                -----------------------------------------------------------------------
77
                interrupt_line : out std_logic
78
                ;debug1 : out std_logic
79
                ;debug2 : out std_logic
80
        );
81
end RegsGpibFasade;
82
 
83
architecture arch of RegsGpibFasade is
84
 
85
        constant MEM_NATIVE_DATA_WIDTH : integer := 16;
86
 
87
        -- gpib
88
        signal g_isLE, g_isTE : std_logic;
89
        signal g_lpeUsed : std_logic;
90
        signal g_fixedPpLine : std_logic_vector (2 downto 0);
91
        signal g_eosUsed : std_logic;
92
        signal g_eosMark : std_logic_vector (7 downto 0);
93
        signal g_myListAddr, g_myTalkAddr : std_logic_vector (4 downto 0);
94
        signal g_secAddrMask : std_logic_vector (31 downto 0);
95
        signal g_data : std_logic_vector (7 downto 0);
96
        signal g_status_byte : std_logic_vector (7 downto 0);
97
        signal g_T1 : std_logic_vector (7 downto 0);
98
        signal g_rdy, g_nba, g_ltn, g_lun, g_lon, g_ton, g_endOf, g_gts, g_rpp,
99
                g_tcs, g_tca, g_sic, g_rsc, g_sre, g_rtl, g_rsv, g_ist, g_lpe, g_dvd,
100
                g_wnc, g_tac, g_lac, g_cwrc, g_cwrd, g_clr, g_trg, g_atl, g_att, g_mla,
101
                g_lsb, g_spa, g_ppr, g_sreq, g_isLocal : std_logic;
102
        signal g_currentSecAddr : std_logic_vector (4 downto 0);
103
        signal g_output_valid : std_logic;
104
        signal g_ATN_out : std_logic;
105
 
106
        -- reader
107
        signal r_isLE : std_logic;
108
        signal r_dataSecAddr : std_logic_vector (4 downto 0);
109
        signal r_buf_interrupt : std_logic;
110
        signal r_data_available : std_logic;
111
        signal r_end_of_stream : std_logic;
112
        signal r_reset_buffer : std_logic;
113
        signal r_strobe : std_logic;
114
        signal r_fifo_full : std_logic;
115
        signal r_fifo_ready_to_write : std_logic;
116
        signal r_at_least_one_byte_in_fifo : std_logic;
117
 
118
        -- writer
119
        signal w_isTE : std_logic;
120
        signal w_dataSecAddr : std_logic_vector (4 downto 0);
121
        signal w_end_of_stream : std_logic;
122
        signal w_data_available : std_logic;
123
        signal w_buf_interrupt : std_logic;
124
        signal w_reset_buffer : std_logic;
125
 
126
        -- serial poll coordinator
127
        signal s_rec_stb : std_logic;
128
        signal s_stb_received : std_logic;
129
 
130
        -- reader fifo
131
        signal rm_reset : std_logic;
132
        signal rm_byte_in : std_logic_vector(7 downto 0);
133
        signal rm_byte_out : std_logic_vector(15 downto 0);
134
        -------------- fifo --------------------
135
        signal rm_availableBytesCount : std_logic_vector(10 downto 0);
136
        signal rm_strobe_read : std_logic;
137
 
138
        -- writer fifo
139
        signal wm_reset : std_logic;
140
        signal wm_write_strobe : std_logic;
141
        signal wm_data_in : std_logic_vector(15 downto 0);
142
        signal wm_byte_in : std_logic_vector(7 downto 0);
143
        signal wm_ready_to_read : std_logic;
144
        signal wm_bytesAvailable : std_logic;
145
        signal wm_availableBytesCount : std_logic_vector(10 downto 0);
146
        signal wm_bufferFull : std_logic;
147
        signal wm_ready_to_write : std_logic;
148
        signal wm_strobe_read : std_logic;
149
 
150
        -- settings reg
151
        signal set0_strobe : std_logic;
152
        signal set0_data_in, set0_data_out :
153
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
154
        signal set1_strobe : std_logic;
155
        signal set1_data_in, set1_data_out :
156
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
157
        signal set0_isLE_TE : std_logic;
158
        signal set1_myAddr : std_logic_vector(4 downto 0);
159
 
160
        -- sec addr mask reg
161
        signal sec0_strobe : std_logic;
162
        signal sec0_data_in, sec0_data_out :
163
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
164
        signal sec0_secAddrMask :
165
                std_logic_vector ((MEM_NATIVE_DATA_WIDTH-1) downto 0);
166
        signal sec1_strobe : std_logic;
167
        signal sec1_data_in, sec1_data_out :
168
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
169
        signal sec1_secAddrMask :
170
                std_logic_vector ((MEM_NATIVE_DATA_WIDTH-1) downto 0);
171
 
172
        -- gpib bus reg
173
        signal gbs_data_out : std_logic_vector ((MEM_NATIVE_DATA_WIDTH-1) downto 0);
174
 
175
        -- event reg
176
        signal ev_strobe : std_logic;
177
        signal ev_data_in, ev_data_out :
178
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
179
 
180
        -- gpib status
181
        signal gs_data_out : std_logic_vector ((MEM_NATIVE_DATA_WIDTH-1) downto 0);
182
 
183
        -- gpib control reg
184
        signal gc_strobe : std_logic;
185
        signal gc_data_in, gc_data_out :
186
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
187
 
188
        -- reader control reg
189
        signal rc0_strobe : std_logic;
190
        signal rc0_data_in, rc0_data_out :
191
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
192
        signal rc1_data_out :
193
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
194
 
195
        -- writer control reg
196
        signal wc0_strobe : std_logic;
197
        signal wc0_data_in, wc0_data_out :
198
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
199
        signal wc0_status_byte : std_logic_vector (6 downto 0);
200
        signal wc1_strobe : std_logic;
201
        signal wc1_data_in, wc1_data_out :
202
                std_logic_vector((MEM_NATIVE_DATA_WIDTH-1) downto 0);
203
 
204
begin
205
 
206
        debug1 <= g_nba;
207
        debug2 <= g_wnc;
208
 
209
        -- settings reg
210
        g_isLE <= set0_isLE_TE;
211
        g_isTE <= set0_isLE_TE;
212
        r_isLE <= set0_isLE_TE;
213
        w_isTE <= set0_isLE_TE;
214
        g_myListAddr <= set1_myAddr;
215
        g_myTalkAddr <= set1_myAddr;
216
        -- sec addr reg
217
        g_secAddrMask (15 downto 0) <= sec0_secAddrMask;
218
        g_secAddrMask (31 downto 16) <= sec1_secAddrMask;
219
 
220
        g_status_byte(7) <= wc0_status_byte(6);
221
        g_status_byte(6) <= '0';
222
        g_status_byte(5 downto 0) <= wc0_status_byte(5 downto 0);
223
 
224
        -- writer fifo
225
        wm_reset <= w_reset_buffer;
226
        -- reader fifo
227
        rm_reset <= r_reset_buffer;
228
 
229
        gpib: gpibInterface port map (
230
                clk => clk, reset => reset,
231
                -- application interface
232
                isLE => g_isLE, isTE => g_isTE, lpeUsed => g_lpeUsed,
233
                fixedPpLine => g_fixedPpLine, eosUsed => g_eosUsed,
234
                eosMark => g_eosMark, myListAddr => g_myListAddr,
235
                myTalkAddr => g_myTalkAddr, secAddrMask => g_secAddrMask,
236
                data => g_data, status_byte => g_status_byte, T1 => g_T1,
237
                rdy => g_rdy, nba => g_nba, ltn => g_ltn, lun => g_lun, lon => g_lon,
238
                ton => g_ton, endOf => g_endOf, gts => g_gts, rpp => g_rpp,
239
                tcs => g_tcs, tca => g_tca, sic => g_sic, rsc => g_rsc, sre => g_sre,
240
                rtl => g_rtl, rsv => g_rsv, ist => g_ist, lpe => g_lpe,
241
                dvd => g_dvd, wnc => g_wnc, tac => g_tac, lac => g_lac, cwrc => g_cwrc,
242
                cwrd => g_cwrd, clr => g_clr, trg => g_trg, atl => g_atl, att => g_att,
243
                mla => g_mla, lsb => g_lsb, spa => g_spa, ppr => g_ppr, sreq => g_sreq,
244
                isLocal => g_isLocal, currentSecAddr => g_currentSecAddr,
245
                DI => DI, DO => DO, output_valid => g_output_valid,
246
                ATN_in => ATN_in, ATN_out => g_ATN_out, DAV_in => DAV_in,
247
                DAV_out => DAV_out, NRFD_in => NRFD_in, NRFD_out => NRFD_out,
248
                NDAC_in => NDAC_in, NDAC_out => NDAC_out, EOI_in => EOI_in,
249
                EOI_out => EOI_out, SRQ_in => SRQ_in, SRQ_out => SRQ_out,
250
                IFC_in => IFC_in, IFC_out => IFC_out, REN_in => REN_in,
251
                REN_out => REN_out, debug1 => open
252
        );
253
 
254
        reader: gpibReader port map (
255
                clk => clk, reset => reset,
256
                ------------------------------------------------------------------------
257
                ------ GPIB interface --------------------------------------------------
258
                ------------------------------------------------------------------------
259
                data_in => DI, dvd => g_dvd, lac => g_lac, lsb => g_lsb,
260
                rdy => g_rdy,
261
                ------------------------------------------------------------------------
262
                ------ external interface ----------------------------------------------
263
                ------------------------------------------------------------------------
264
                isLE => r_isLE, secAddr => g_currentSecAddr,
265
                dataSecAddr => r_dataSecAddr, buf_interrupt => r_buf_interrupt,
266
                end_of_stream => r_end_of_stream,
267
                reset_reader => r_reset_buffer,
268
                ------------------ fifo --------------------------------------
269
                fifo_full => r_fifo_full, fifo_ready_to_write => r_fifo_ready_to_write,
270
                at_least_one_byte_in_fifo => r_at_least_one_byte_in_fifo,
271
                data_out => rm_byte_in, fifo_strobe => r_strobe
272
        );
273
 
274
        writer: gpibWriter port map (
275
                clk => clk, reset => reset,
276
                ------------------------------------------------------------------------
277
                ------ GPIB interface --------------------------------------------------
278
                ------------------------------------------------------------------------
279
                data_out => g_data, wnc => g_wnc, spa => g_spa, nba => g_nba,
280
                endOf => g_endOf, tac => g_tac, cwrc => g_cwrc,
281
                ------------------------------------------------------------------------
282
                ------ external interface ----------------------------------------------
283
                ------------------------------------------------------------------------
284
                isTE => w_isTE,
285
                secAddr => g_currentSecAddr, dataSecAddr => w_dataSecAddr,
286
                buf_interrupt => w_buf_interrupt, end_of_stream => w_end_of_stream,
287
                reset_writer => w_reset_buffer,
288
                writer_enable => w_data_available,
289
                ---------------- fifo ---------------------------
290
                availableFifoBytesCount => wm_availableBytesCount,
291
                fifo_read_strobe => wm_strobe_read,
292
                fifo_ready_to_read => wm_ready_to_read,
293
                fifo_data_in => wm_byte_in
294
        );
295
 
296
        spc: SerialPollCoordinator port map (
297
                clk => clk, reset => reset,
298
                DAC => NDAC_in, rec_stb => s_rec_stb, ATN_in => g_ATN_out,
299
                ATN_out => ATN_out, output_valid_in => g_output_valid,
300
                output_valid_out => output_valid, stb_received => s_stb_received
301
        );
302
 
303
        readerFifo: Fifo8b port map (
304
                reset => reset, clk => clk,
305
                -------------- fifo --------------------
306
                bytesAvailable => r_at_least_one_byte_in_fifo,
307
                availableBytesCount => rm_availableBytesCount,
308
                bufferFull => r_fifo_full,
309
                resetFifo => rm_reset,
310
                ----------------------------------------
311
                data_in => rm_byte_in, ready_to_write => r_fifo_ready_to_write,
312
                strobe_write => r_strobe,
313
                ----------------------------------------
314
                data_out => rm_byte_out(7 downto 0), ready_to_read => r_data_available,
315
                strobe_read => rm_strobe_read
316
        );
317
 
318
        writerFifo: Fifo8b port map (
319
                reset => reset, clk => clk,
320
                -------------- fifo --------------------
321
                bytesAvailable => wm_bytesAvailable,
322
                availableBytesCount => wm_availableBytesCount,
323
                bufferFull => wm_bufferFull,
324
                resetFifo => wm_reset,
325
                ----------------------------------------
326
                data_in => wm_data_in(7 downto 0),
327
                ready_to_write => wm_ready_to_write,
328
                strobe_write => wm_write_strobe,
329
                ----------------------------------------
330
                data_out => wm_byte_in,
331
                ready_to_read => wm_ready_to_read,
332
                strobe_read => wm_strobe_read
333
        );
334
 
335
        --Clk2x_0: Clk2x port map (
336
        --      reset => reset,
337
        --      clk => clk,
338
        --      clk2x => clk2x
339
        --);
340
 
341
        set0: SettingsReg0 port map (
342
                reset => reset,
343
                strobe => set0_strobe, data_in => set0_data_in,
344
                data_out => set0_data_out,
345
                ------------- gpib -----------------------------
346
                isLE_TE => set0_isLE_TE, lpeUsed => g_lpeUsed,
347
                fixedPpLine => g_fixedPpLine, eosUsed => g_eosUsed,
348
                eosMark => g_eosMark, lon => g_lon, ton => g_ton
349
        );
350
 
351
        set1: SettingsReg1 port map (
352
                reset => reset,
353
                strobe => set1_strobe, data_in => set1_data_in,
354
                data_out => set1_data_out,
355
                -- gpib
356
                myAddr => set1_myAddr, T1 => g_T1
357
        );
358
 
359
        sec0: SecAddrReg port map (
360
                reset => reset,
361
                strobe => sec0_strobe, data_in => sec0_data_in,
362
                data_out => sec0_data_out,
363
                -- gpib
364
                secAddrMask => sec0_secAddrMask
365
        );
366
 
367
        sec1: SecAddrReg port map (
368
                reset => reset,
369
                strobe => sec1_strobe, data_in => sec1_data_in,
370
                data_out => sec1_data_out,
371
                -- gpib
372
                secAddrMask => sec1_secAddrMask
373
        );
374
 
375
        gbs: gpibBusReg port map (
376
                data_out => gbs_data_out,
377
                ----------- gpib ---------------------------------
378
                DIO => DI, ATN => ATN_in, DAV => DAV_in, NRFD => NRFD_in,
379
                NDAC => NDAC_in, EOI => EOI_in, SRQ => SRQ_in, IFC => IFC_in,
380
                REN => REN_in
381
        );
382
 
383
        ev: EventReg port map (
384
                reset => reset, clk => clk,
385
                strobe => ev_strobe, data_in => ev_data_in, data_out => ev_data_out,
386
                -------------------- gpib device ---------------------
387
                isLocal => g_isLocal, in_buf_ready => r_buf_interrupt,
388
                out_buf_ready => w_buf_interrupt, clr => g_clr, trg => g_trg,
389
                att => g_att, atl => g_atl, spa => g_spa,
390
                -------------------- gpib controller ---------------------
391
                cwrc => g_cwrc, cwrd => g_cwrd, srq => g_sreq, ppr => g_ppr,
392
                -- stb received
393
                stb_received => s_stb_received,
394
                REN => REN_in, ATN => ATN_in, IFC => IFC_in
395
        );
396
 
397
        gs: GpibStatusReg port map (
398
                data_out => gs_data_out,
399
                --------------------- gpib ---------------------
400
                currentSecAddr => g_currentSecAddr,
401
                att => g_att, tac => g_tac, atl => g_atl, lac => g_lac,
402
                cwrc => g_cwrc, cwrd => g_cwrd, spa => g_spa,
403
                isLocal => g_isLocal
404
        );
405
 
406
        gc: gpibControlReg port map (
407
                        reset => reset,
408
                        strobe => gc_strobe, data_in => gc_data_in,
409
                        data_out => gc_data_out,
410
                        ------------------ gpib ------------------------
411
                        ltn => g_ltn, lun => g_lun, rtl => g_rtl, rsv => g_rsv,
412
                        ist => g_ist, lpe => g_lpe,
413
                        ------------------------------------------------
414
                        rsc => g_rsc, sic => g_sic, sre => g_sre, gts => g_gts,
415
                        tcs => g_tcs, tca => g_tca, rpp => g_rpp, rec_stb => s_rec_stb
416
                );
417
 
418
        rc0: ReaderControlReg0 port map (
419
                clk => clk, reset => reset,
420
                strobe => rc0_strobe, data_in => rc0_data_in, data_out => rc0_data_out,
421
                ------------------- gpib -------------------------
422
                buf_interrupt => r_buf_interrupt, data_available => r_data_available,
423
                end_of_stream => r_end_of_stream, reset_buffer => r_reset_buffer,
424
                dataSecAddr => r_dataSecAddr
425
        );
426
 
427
        rc1: ReaderControlReg1 port map (
428
                data_out => rc1_data_out,
429
                ------------------ gpib --------------------
430
                bytes_available_in_fifo => rm_availableBytesCount
431
        );
432
 
433
        wc0: WriterControlReg0 port map (
434
                clk => clk, reset => reset,
435
                strobe => wc0_strobe, data_in => wc0_data_in, data_out => wc0_data_out,
436
                ------------------- gpib -------------------------
437
                buf_interrupt => w_buf_interrupt, data_available => w_data_available,
438
                end_of_stream => w_end_of_stream, reset_buffer => w_reset_buffer,
439
                dataSecAddr => w_dataSecAddr, status_byte => wc0_status_byte
440
        );
441
 
442
        wc1: WriterControlReg1 port map (
443
                reset => reset,
444
                strobe => wc1_strobe, data_in => wc1_data_in,
445
                data_out => wc1_data_out,
446
                ------------------ gpib --------------------
447
                bytes_available_in_fifo => wm_availableBytesCount
448
        );
449
 
450
        ig: InterruptGenerator port map (
451
                reset => reset, clk => clk, interrupt => interrupt_line,
452
                -------------------- gpib device ---------------------
453
                isLocal => g_isLocal, in_buf_ready => r_buf_interrupt,
454
                out_buf_ready => w_buf_interrupt, clr => g_clr, trg => g_trg,
455
                att => g_att, atl => g_atl, spa => g_spa, cwrc => g_cwrc,
456
                cwrd => g_cwrd, srq => g_sreq, ppr => g_ppr,
457
                stb_received => s_stb_received, REN => REN_in, ATN => ATN_in,
458
                IFC => IFC_in
459
        );
460
 
461
        rml: RegMultiplexer generic map (ADDR_WIDTH => 15) port map (
462
                        strobe_read => strobe_read, strobe_write => strobe_write,
463
                        data_in => data_in, data_out => data_out,
464
                        --------------------------------------------------------
465
                        reg_addr => reg_addr,
466
                        --------------------------------------------------------
467
                        reg_strobe_0 => set0_strobe,
468
                        reg_in_0 => set0_data_in, reg_out_0 => set0_data_out,
469
 
470
                        reg_strobe_1 => set1_strobe,
471
                        reg_in_1 => set1_data_in, reg_out_1 => set1_data_out,
472
 
473
                        reg_strobe_2 => sec0_strobe,
474
                        reg_in_2 => sec0_data_in, reg_out_2 => sec0_data_out,
475
 
476
                        reg_strobe_3 => sec1_strobe,
477
                        reg_in_3 => sec1_data_in, reg_out_3 => sec1_data_out,
478
 
479
                        --reg_strobe_4 => 
480
                        --reg_in_4 => 
481
                        reg_out_4 => gbs_data_out,
482
 
483
                        reg_strobe_5 => ev_strobe,
484
                        reg_in_5 => ev_data_in, reg_out_5 => ev_data_out,
485
 
486
                        --reg_strobe_6 => 
487
                        --reg_in_6 => 
488
                        reg_out_6 => gs_data_out,
489
 
490
                        reg_strobe_7 => gc_strobe,
491
                        reg_in_7 => gc_data_in, reg_out_7 => gc_data_out,
492
 
493
                        reg_strobe_8 => rc0_strobe,
494
                        reg_in_8 => rc0_data_in, reg_out_8 => rc0_data_out,
495
 
496
                        --reg_strobe_9 => rc1_strobe,
497
                        --reg_in_9 => rc1_data_in,
498
                        reg_out_9 => rc1_data_out,
499
 
500
                        reg_strobe_10 => wc0_strobe,
501
                        reg_in_10 => wc0_data_in, reg_out_10 => wc0_data_out,
502
 
503
                        reg_strobe_11 => wc1_strobe,
504
                        reg_in_11 => wc1_data_in, reg_out_11 => wc1_data_out,
505
 
506
                        reg_strobe_other0 => rm_strobe_read,
507
                        --reg_in_other0 => ,
508
                        reg_out_other0 => rm_byte_out,
509
 
510
                        reg_strobe_other1 => wm_write_strobe,
511
                        reg_in_other1 => wm_data_in,
512
                        reg_out_other1 => "0000000000000000"
513
                );
514
 
515
end arch;
516
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.