OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [SecAddrReg.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: SecAddrReg
18
-- Date:2011-11-09  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
entity SecAddrReg is
29
        port (
30
                reset : in std_logic;
31
                strobe : in std_logic;
32
                data_in : in std_logic_vector (15 downto 0);
33
                data_out : out std_logic_vector (15 downto 0);
34
                -- gpib
35
                secAddrMask : out std_logic_vector (15 downto 0)
36
        );
37
end SecAddrReg;
38
 
39
architecture arch of SecAddrReg is
40
 
41
        signal inner_buf : std_logic_vector (15 downto 0);
42
 
43
begin
44
 
45
        data_out <= inner_buf;
46
        secAddrMask <= inner_buf;
47
 
48
        process (reset, strobe) begin
49
                if reset = '1' then
50
                        inner_buf <= "0000000000000000";
51
                elsif rising_edge(strobe) then
52
                        inner_buf <= data_in;
53
                end if;
54
        end process;
55
 
56
end arch;
57
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.