OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [SettingsReg1.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3
--
4
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17 3 Andrewski
-- Entity: SettingsReg0
18
-- Date:2011-11-09  
19 13 Andrewski
-- Author: Andrzej Paluch
20 3 Andrewski
--
21
-- Description ${cursor}
22
--------------------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
 
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.std_logic_unsigned.all;
31
 
32
entity SettingsReg1 is
33
        port (
34
                reset : in std_logic;
35
                strobe : in std_logic;
36
                data_in : in std_logic_vector (15 downto 0);
37
                data_out : out std_logic_vector (15 downto 0);
38
                -- gpib
39
                myAddr : out std_logic_vector (4 downto 0);
40
                T1 : out std_logic_vector (7 downto 0)
41
        );
42
end SettingsReg1;
43
 
44
architecture arch of SettingsReg1 is
45
 
46
        signal inner_buf : std_logic_vector (15 downto 0);
47
 
48
begin
49
 
50
        inner_buf(15 downto 13) <= "000";
51
 
52
        data_out <= inner_buf;
53
 
54
        myAddr <= inner_buf(4 downto 0);
55
        T1 <= inner_buf(12 downto 5);
56
 
57
        process (reset, strobe) begin
58
                if reset = '1' then
59
                        -- default 132*Tclk = 2uS and addr=1
60
                        inner_buf(12 downto 0) <= "1000010000001";
61
                elsif rising_edge(strobe) then
62
                        inner_buf(12 downto 0) <= data_in(12 downto 0);
63
                end if;
64
        end process;
65
 
66
end arch;
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.