OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpibInterfaceTest.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3 3 Andrewski
--
4 13 Andrewski
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17
-- Author: Andrzej Paluch
18
--
19 3 Andrewski
-- Create Date:   23:21:05 10/21/2011
20
-- Design Name:   
21
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
22
-- Project Name:  usbToHpib
23
-- Target Device:  
24
-- Tool versions:  
25
-- Description:   
26
-- 
27
-- VHDL Test Bench Created by ISE for module: gpibInterface
28
-- 
29
-- Dependencies:
30
-- 
31
-- Revision:
32
-- Revision 0.01 - File Created
33
-- Additional Comments:
34
--
35
-- Notes: 
36
-- This testbench has been automatically generated using types std_logic and
37
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
38
-- that these types always be used for the top-level I/O of a design in order
39
-- to guarantee that the testbench will bind correctly to the post-implementation 
40
-- simulation model.
41
--------------------------------------------------------------------------------
42
LIBRARY ieee;
43
USE ieee.std_logic_1164.ALL;
44
USE ieee.std_logic_unsigned.all;
45
USE ieee.numeric_std.ALL;
46
 
47
use work.gpibComponents.all;
48
use work.helperComponents.all;
49
 
50
 
51
ENTITY gpibInterfaceTest IS
52
END gpibInterfaceTest;
53
 
54
ARCHITECTURE behavior OF gpibInterfaceTest IS
55
 
56
        -- Component Declaration for the Unit Under Test (UUT)
57
 
58
        component gpibCableEmulator is port (
59
                -- interface signals
60
                DIO_1 : in std_logic_vector (7 downto 0);
61
                output_valid_1 : in std_logic;
62
                DIO_2 : in std_logic_vector (7 downto 0);
63
                output_valid_2 : in std_logic;
64
                DIO : out std_logic_vector (7 downto 0);
65
                -- attention
66
                ATN_1 : in std_logic;
67
                ATN_2 : in std_logic;
68
                ATN : out std_logic;
69
                -- data valid
70
                DAV_1 : in std_logic;
71
                DAV_2 : in std_logic;
72
                DAV : out std_logic;
73
                -- not ready for data
74
                NRFD_1 : in std_logic;
75
                NRFD_2 : in std_logic;
76
                NRFD : out std_logic;
77
                -- no data accepted
78
                NDAC_1 : in std_logic;
79
                NDAC_2 : in std_logic;
80
                NDAC : out std_logic;
81
                -- end or identify
82
                EOI_1 : in std_logic;
83
                EOI_2 : in std_logic;
84
                EOI : out std_logic;
85
                -- service request
86
                SRQ_1 : in std_logic;
87
                SRQ_2 : in std_logic;
88
                SRQ : out std_logic;
89
                -- interface clear
90
                IFC_1 : in std_logic;
91
                IFC_2 : in std_logic;
92
                IFC : out std_logic;
93
                -- remote enable
94
                REN_1 : in std_logic;
95
                REN_2 : in std_logic;
96
                REN : out std_logic
97
        );
98
        end component;
99
 
100
        -- inputs common
101
        signal clk : std_logic := '0';
102
        signal reset : std_logic := '0';
103
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
104
 
105
        -- inputs 1
106
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
107
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
108
        signal rdy_1 : std_logic := '0';
109
        signal nba_1 : std_logic := '0';
110
        signal ltn_1 : std_logic := '0';
111
        signal lun_1 : std_logic := '0';
112
        signal lon_1 : std_logic := '0';
113
        signal ton_1 : std_logic := '0';
114
        signal endOf_1 : std_logic := '0';
115
        signal gts_1 : std_logic := '0';
116
        signal rpp_1 : std_logic := '0';
117
        signal tcs_1 : std_logic := '0';
118
        signal tca_1 : std_logic := '0';
119
        signal sic_1 : std_logic := '0';
120
        signal rsc_1 : std_logic := '0';
121
        signal sre_1 : std_logic := '0';
122
        signal rtl_1 : std_logic := '0';
123
        signal rsv_1 : std_logic := '0';
124
        signal ist_1 : std_logic := '0';
125
        signal lpe_1 : std_logic := '0';
126
 
127
        -- inputs 2
128
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
129
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
130
        signal rdy_2 : std_logic := '0';
131
        signal nba_2 : std_logic := '0';
132
        signal ltn_2 : std_logic := '0';
133
        signal lun_2 : std_logic := '0';
134
        signal lon_2 : std_logic := '0';
135
        signal ton_2 : std_logic := '0';
136
        signal endOf_2 : std_logic := '0';
137
        signal gts_2 : std_logic := '0';
138
        signal rpp_2 : std_logic := '0';
139
        signal tcs_2 : std_logic := '0';
140
        signal tca_2 : std_logic := '0';
141
        signal sic_2 : std_logic := '0';
142
        signal rsc_2 : std_logic := '0';
143
        signal sre_2 : std_logic := '0';
144
        signal rtl_2 : std_logic := '0';
145
        signal rsv_2 : std_logic := '0';
146
        signal ist_2 : std_logic := '0';
147
        signal lpe_2 : std_logic := '0';
148
 
149
        -- outputs 1
150
        signal dvd_1 : std_logic;
151
        signal wnc_1 : std_logic;
152
        signal tac_1 : std_logic;
153
        signal cwrc_1 : std_logic;
154
        signal cwrd_1 : std_logic;
155
        signal clr_1 : std_logic;
156
        signal trg_1 : std_logic;
157
        signal atl_1 : std_logic;
158
        signal att_1 : std_logic;
159
        signal mla_1 : std_logic;
160
        signal lsb_1 : std_logic;
161
        signal spa_1 : std_logic;
162
        signal ppr_1 : std_logic;
163
        signal sreq_1 : std_logic;
164
        signal isLocal_1 : std_logic;
165
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
166
 
167
        -- outputs 2
168
        signal dvd_2 : std_logic;
169
        signal wnc_2 : std_logic;
170
        signal tac_2 : std_logic;
171
        signal cwrc_2 : std_logic;
172
        signal cwrd_2 : std_logic;
173
        signal clr_2 : std_logic;
174
        signal trg_2 : std_logic;
175
        signal atl_2 : std_logic;
176
        signal att_2 : std_logic;
177
        signal mla_2 : std_logic;
178
        signal lsb_2 : std_logic;
179
        signal spa_2 : std_logic;
180
        signal ppr_2 : std_logic;
181
        signal sreq_2 : std_logic;
182
        signal isLocal_2 : std_logic;
183
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
184
 
185
        -- common
186
        signal DO : std_logic_vector (7 downto 0);
187
        signal DI_1 : std_logic_vector (7 downto 0);
188
        signal output_valid_1 : std_logic;
189
        signal DI_2 : std_logic_vector (7 downto 0);
190
        signal output_valid_2 : std_logic;
191
        signal ATN_1, ATN_2, ATN : std_logic;
192
        signal DAV_1, DAV_2, DAV : std_logic;
193
        signal NRFD_1, NRFD_2, NRFD : std_logic;
194
        signal NDAC_1, NDAC_2, NDAC : std_logic;
195
        signal EOI_1, EOI_2, EOI : std_logic;
196
        signal SRQ_1, SRQ_2, SRQ : std_logic;
197
        signal IFC_1, IFC_2, IFC : std_logic;
198
        signal REN_1, REN_2, REN : std_logic;
199
 
200
 
201
        -- Clock period definitions
202
        constant clk_period : time := 2ps;
203
 
204
BEGIN
205
 
206
        -- Instantiate the Unit Under Test (UUT)
207
        gpib1: gpibInterface PORT MAP (
208
                clk => clk,
209
                reset => reset,
210
                isLE => '0',
211
                isTE => '0',
212
                lpeUsed => '0',
213
                fixedPpLine => "000",
214
                eosUsed => '0',
215
                eosMark => "00000000",
216
                myListAddr => "00001",
217
                myTalkAddr => "00001",
218
                secAddrMask => (others => '0'),
219
                data => data_1,
220
                status_byte => status_byte_1,
221
                T1 => T1,
222
                rdy => rdy_1,
223
                nba => nba_1,
224
                ltn => ltn_1,
225
                lun => lun_1,
226
                lon => lon_1,
227
                ton => ton_1,
228
                endOf => endOf_1,
229
                gts => gts_1,
230
                rpp => rpp_1,
231
                tcs => tcs_1,
232
                tca => tca_1,
233
                sic => sic_1,
234
                rsc => rsc_1,
235
                sre => sre_1,
236
                rtl => rtl_1,
237
                rsv => rsv_1,
238
                ist => ist_1,
239
                lpe => lpe_1,
240
                dvd => dvd_1,
241
                wnc => wnc_1,
242
                tac => tac_1,
243
                cwrc => cwrc_1,
244
                cwrd => cwrd_1,
245
                clr => clr_1,
246
                trg => trg_1,
247
                atl => atl_1,
248
                att => att_1,
249
                mla => mla_1,
250
                lsb => lsb_1,
251
                spa => spa_1,
252
                ppr => ppr_1,
253
                sreq => sreq_1,
254
                isLocal => isLocal_1,
255
                currentSecAddr => currentSecAddr_1,
256
                DI => DO,
257
                DO => DI_1,
258
                output_valid => output_valid_1,
259
                ATN_in => ATN,
260
                ATN_out => ATN_1,
261
                DAV_in => DAV,
262
                DAV_out => DAV_1,
263
                NRFD_in => NRFD,
264
                NRFD_out => NRFD_1,
265
                NDAC_in => NDAC,
266
                NDAC_out => NDAC_1,
267
                EOI_in => EOI,
268
                EOI_out => EOI_1,
269
                SRQ_in => SRQ,
270
                SRQ_out => SRQ_1,
271
                IFC_in => IFC,
272
                IFC_out => IFC_1,
273
                REN_in => REN,
274
                REN_out => REN_1
275
                );
276
 
277
        -- Instantiate the Unit Under Test (UUT)
278
        gpib2: gpibInterface PORT MAP (
279
                clk => clk,
280
                reset => reset,
281
                isLE => '0',
282
                isTE => '0',
283
                lpeUsed => '0',
284
                fixedPpLine => "000",
285
                eosUsed => '0',
286
                eosMark => "00000000",
287
                myListAddr => "00010",
288
                myTalkAddr => "00010",
289
                secAddrMask => (others => '0'),
290
                data => data_2,
291
                status_byte => status_byte_2,
292
                T1 => T1,
293
                rdy => rdy_2,
294
                nba => nba_2,
295
                ltn => ltn_2,
296
                lun => lun_2,
297
                lon => lon_2,
298
                ton => ton_2,
299
                endOf => endOf_2,
300
                gts => gts_2,
301
                rpp => rpp_2,
302
                tcs => tcs_2,
303
                tca => tca_2,
304
                sic => sic_2,
305
                rsc => rsc_2,
306
                sre => sre_2,
307
                rtl => rtl_2,
308
                rsv => rsv_2,
309
                ist => ist_2,
310
                lpe => lpe_2,
311
                dvd => dvd_2,
312
                wnc => wnc_2,
313
                tac => tac_2,
314
                cwrc => cwrc_2,
315
                cwrd => cwrd_2,
316
                clr => clr_2,
317
                trg => trg_2,
318
                atl => atl_2,
319
                att => att_2,
320
                mla => mla_2,
321
                lsb => lsb_2,
322
                spa => spa_2,
323
                ppr => ppr_2,
324
                sreq => sreq_2,
325
                isLocal => isLocal_2,
326
                currentSecAddr => currentSecAddr_2,
327
                DI => DO,
328
                DO => DI_2,
329
                output_valid => output_valid_2,
330
                ATN_in => ATN,
331
                ATN_out => ATN_2,
332
                DAV_in => DAV,
333
                DAV_out => DAV_2,
334
                NRFD_in => NRFD,
335
                NRFD_out => NRFD_2,
336
                NDAC_in => NDAC,
337
                NDAC_out => NDAC_2,
338
                EOI_in => EOI,
339
                EOI_out => EOI_2,
340
                SRQ_in => SRQ,
341
                SRQ_out => SRQ_2,
342
                IFC_in => IFC,
343
                IFC_out => IFC_2,
344
                REN_in => REN,
345
                REN_out => REN_2
346
                );
347
 
348
        ce: gpibCableEmulator port map (
349
                -- interface signals
350
                DIO_1 => DI_1,
351
                output_valid_1 => output_valid_1,
352
                DIO_2 => DI_2,
353
                output_valid_2 => output_valid_2,
354
                DIO => DO,
355
                -- attention
356
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
357
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
358
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
359
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
360
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
361
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
362
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
363
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
364
        );
365
 
366
        -- Clock process definitions
367
        clk_process :process
368
        begin
369
                clk <= '0';
370
                wait for clk_period/2;
371
                clk <= '1';
372
                wait for clk_period/2;
373
        end process;
374
 
375
 
376
        -- Stimulus process
377
        stim_proc: process
378
        begin
379
                -- hold reset state for 10 clock periods.
380
                reset <= '1';
381
                wait for clk_period*10;
382
                reset <= '0';
383
                wait for clk_period*10;
384
 
385
                -- requests system control
386
                rsc_1 <= '1';
387
                -- interface clear
388
                sic_1 <= '1';
389
                wait for clk_period*2;
390
                sic_1 <= '0';
391
                wait until ifc_1='0';
392
                -- address gpib2 to listen
393
                data_1 <= "00100010";
394
                nba_1 <= '1';
395
                wait until DAV='1';
396
                nba_1 <= '0';
397
                wait for clk_period*20;
398
                -- address gpib1 to talk
399
                data_1 <= "01000001";
400
                wait for clk_period*1;
401
                nba_1 <= '1';
402
                wait until DAV='1';
403
                nba_1 <= '0';
404
                wait for clk_period*30;
405
                gts_1 <= '1';
406
                wait until tac_1='1';
407
                -- send data to gpib2
408
                rdy_2 <= '1';
409
                wait until NRFD='0';
410
                data_1 <= "10101010";
411
                nba_1 <= '1';
412
                wait until DAV_1='1';
413
                nba_1 <= '0';
414
                wait until dvd_2='1';
415
                rdy_2 <= '0';
416
                wait until NDAC_2='0';
417
                rdy_2 <= '1';
418
                -- send end data to gpib2
419
                wait until NRFD='0';
420
                data_1 <= "10101010";
421
                endOf_1 <= '1';
422
                nba_1 <= '1';
423
                wait until DAV_1='1';
424
                nba_1 <= '0';
425
                wait until dvd_2='1';
426
                rdy_2 <= '0';
427
                wait until NDAC_2='0';
428
                rdy_2 <= '1';
429
 
430
                wait;
431
        end process;
432
 
433
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.