OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpibReaderTest.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3 3 Andrewski
--
4 13 Andrewski
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17
-- Author: Andrzej Paluch
18
--
19 3 Andrewski
-- Create Date:   23:21:05 10/21/2011
20
-- Design Name:   
21
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
22
-- Project Name:  usbToHpib
23
-- Target Device:  
24
-- Tool versions:  
25
-- Description:   
26
-- 
27
-- VHDL Test Bench Created by ISE for module: gpibInterface
28
-- 
29
-- Dependencies:
30
-- 
31
-- Revision:
32
-- Revision 0.01 - File Created
33
-- Additional Comments:
34
--
35
-- Notes: 
36
-- This testbench has been automatically generated using types std_logic and
37
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
38
-- that these types always be used for the top-level I/O of a design in order
39
-- to guarantee that the testbench will bind correctly to the post-implementation 
40
-- simulation model.
41
--------------------------------------------------------------------------------
42
LIBRARY ieee;
43
USE ieee.std_logic_1164.ALL;
44
USE ieee.std_logic_unsigned.all;
45
USE ieee.numeric_std.ALL;
46
 
47
use work.gpibComponents.all;
48
use work.helperComponents.all;
49
 
50
 
51
ENTITY gpibReaderTest IS
52
END gpibReaderTest;
53
 
54
ARCHITECTURE behavior OF gpibReaderTest IS
55
 
56
        -- Component Declaration for the Unit Under Test (UUT)
57
 
58
        component gpibCableEmulator is port (
59
                -- interface signals
60
                DIO_1 : in std_logic_vector (7 downto 0);
61
                output_valid_1 : in std_logic;
62
                DIO_2 : in std_logic_vector (7 downto 0);
63
                output_valid_2 : in std_logic;
64
                DIO : out std_logic_vector (7 downto 0);
65
                -- attention
66
                ATN_1 : in std_logic;
67
                ATN_2 : in std_logic;
68
                ATN : out std_logic;
69
                -- data valid
70
                DAV_1 : in std_logic;
71
                DAV_2 : in std_logic;
72
                DAV : out std_logic;
73
                -- not ready for data
74
                NRFD_1 : in std_logic;
75
                NRFD_2 : in std_logic;
76
                NRFD : out std_logic;
77
                -- no data accepted
78
                NDAC_1 : in std_logic;
79
                NDAC_2 : in std_logic;
80
                NDAC : out std_logic;
81
                -- end or identify
82
                EOI_1 : in std_logic;
83
                EOI_2 : in std_logic;
84
                EOI : out std_logic;
85
                -- service request
86
                SRQ_1 : in std_logic;
87
                SRQ_2 : in std_logic;
88
                SRQ : out std_logic;
89
                -- interface clear
90
                IFC_1 : in std_logic;
91
                IFC_2 : in std_logic;
92
                IFC : out std_logic;
93
                -- remote enable
94
                REN_1 : in std_logic;
95
                REN_2 : in std_logic;
96
                REN : out std_logic
97
        );
98
        end component;
99
 
100
        -- inputs common
101
        signal clk : std_logic := '0';
102
        signal reset : std_logic := '0';
103
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
104
 
105
        -- inputs 1
106
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
107
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
108
        signal rdy_1 : std_logic := '0';
109
        signal nba_1 : std_logic := '0';
110
        signal ltn_1 : std_logic := '0';
111
        signal lun_1 : std_logic := '0';
112
        signal lon_1 : std_logic := '0';
113
        signal ton_1 : std_logic := '0';
114
        signal endOf_1 : std_logic := '0';
115
        signal gts_1 : std_logic := '0';
116
        signal rpp_1 : std_logic := '0';
117
        signal tcs_1 : std_logic := '0';
118
        signal tca_1 : std_logic := '0';
119
        signal sic_1 : std_logic := '0';
120
        signal rsc_1 : std_logic := '0';
121
        signal sre_1 : std_logic := '0';
122
        signal rtl_1 : std_logic := '0';
123
        signal rsv_1 : std_logic := '0';
124
        signal ist_1 : std_logic := '0';
125
        signal lpe_1 : std_logic := '0';
126
 
127
        -- inputs 2
128
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
129
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
130
        signal rdy_2 : std_logic := '0';
131
        signal nba_2 : std_logic := '0';
132
        signal ltn_2 : std_logic := '0';
133
        signal lun_2 : std_logic := '0';
134
        signal lon_2 : std_logic := '0';
135
        signal ton_2 : std_logic := '0';
136
        signal endOf_2 : std_logic := '0';
137
        signal gts_2 : std_logic := '0';
138
        signal rpp_2 : std_logic := '0';
139
        signal tcs_2 : std_logic := '0';
140
        signal tca_2 : std_logic := '0';
141
        signal sic_2 : std_logic := '0';
142
        signal rsc_2 : std_logic := '0';
143
        signal sre_2 : std_logic := '0';
144
        signal rtl_2 : std_logic := '0';
145
        signal rsv_2 : std_logic := '0';
146
        signal ist_2 : std_logic := '0';
147
        signal lpe_2 : std_logic := '0';
148
 
149
        -- outputs 1
150
        signal dvd_1 : std_logic;
151
        signal wnc_1 : std_logic;
152
        signal tac_1 : std_logic;
153
        signal cwrc_1 : std_logic;
154
        signal cwrd_1 : std_logic;
155
        signal clr_1 : std_logic;
156
        signal trg_1 : std_logic;
157
        signal atl_1 : std_logic;
158
        signal att_1 : std_logic;
159
        signal mla_1 : std_logic;
160
        signal lsb_1 : std_logic;
161
        signal spa_1 : std_logic;
162
        signal ppr_1 : std_logic;
163
        signal sreq_1 : std_logic;
164
        signal isLocal_1 : std_logic;
165
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
166
 
167
        -- outputs 2
168
        signal dvd_2 : std_logic;
169
        signal wnc_2 : std_logic;
170
        signal tac_2 : std_logic;
171
        signal cwrc_2 : std_logic;
172
        signal cwrd_2 : std_logic;
173
        signal clr_2 : std_logic;
174
        signal trg_2 : std_logic;
175
        signal atl_2 : std_logic;
176
        signal att_2 : std_logic;
177
        signal mla_2 : std_logic;
178
        signal lsb_2 : std_logic;
179
        signal spa_2 : std_logic;
180
        signal ppr_2 : std_logic;
181
        signal sreq_2 : std_logic;
182
        signal isLocal_2 : std_logic;
183
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
184
 
185
        -- common
186
        signal DO : std_logic_vector (7 downto 0);
187
        signal DI_1 : std_logic_vector (7 downto 0);
188
        signal output_valid_1 : std_logic;
189
        signal DI_2 : std_logic_vector (7 downto 0);
190
        signal output_valid_2 : std_logic;
191
        signal ATN_1, ATN_2, ATN : std_logic;
192
        signal DAV_1, DAV_2, DAV : std_logic;
193
        signal NRFD_1, NRFD_2, NRFD : std_logic;
194
        signal NDAC_1, NDAC_2, NDAC : std_logic;
195
        signal EOI_1, EOI_2, EOI : std_logic;
196
        signal SRQ_1, SRQ_2, SRQ : std_logic;
197
        signal IFC_1, IFC_2, IFC : std_logic;
198
        signal REN_1, REN_2, REN : std_logic;
199
 
200
        -- gpib reader
201
        signal buf_interrupt : std_logic;
202
        signal data_available : std_logic;
203
        signal last_byte_addr : std_logic_vector (3 downto 0);
204
        signal end_of_stream : std_logic;
205
        signal byte_addr : std_logic_vector (3 downto 0);
206
        signal data_out : std_logic_vector (7 downto 0);
207
        signal reset_buffer : std_logic := '0';
208
        signal dataSecAddr : std_logic_vector (4 downto 0);
209
 
210
        -- Clock period definitions
211
        constant clk_period : time := 2ps;
212
 
213
BEGIN
214
 
215
        -- Instantiate the Unit Under Test (UUT)
216
        gpib1: gpibInterface PORT MAP (
217
                clk => clk,
218
                reset => reset,
219
                isLE => '0',
220
                isTE => '0',
221
                lpeUsed => '0',
222
                fixedPpLine => "000",
223
                eosUsed => '0',
224
                eosMark => "00000000",
225
                myListAddr => "00001",
226
                myTalkAddr => "00001",
227
                secAddrMask => (others => '0'),
228
                data => data_1,
229
                status_byte => status_byte_1,
230
                T1 => T1,
231
                rdy => rdy_1,
232
                nba => nba_1,
233
                ltn => ltn_1,
234
                lun => lun_1,
235
                lon => lon_1,
236
                ton => ton_1,
237
                endOf => endOf_1,
238
                gts => gts_1,
239
                rpp => rpp_1,
240
                tcs => tcs_1,
241
                tca => tca_1,
242
                sic => sic_1,
243
                rsc => rsc_1,
244
                sre => sre_1,
245
                rtl => rtl_1,
246
                rsv => rsv_1,
247
                ist => ist_1,
248
                lpe => lpe_1,
249
                dvd => dvd_1,
250
                wnc => wnc_1,
251
                tac => tac_1,
252
                cwrc => cwrc_1,
253
                cwrd => cwrd_1,
254
                clr => clr_1,
255
                trg => trg_1,
256
                atl => atl_1,
257
                att => att_1,
258
                mla => mla_1,
259
                lsb => lsb_1,
260
                spa => spa_1,
261
                ppr => ppr_1,
262
                sreq => sreq_1,
263
                isLocal => isLocal_1,
264
                currentSecAddr => currentSecAddr_1,
265
                DI => DO,
266
                DO => DI_1,
267
                output_valid => output_valid_1,
268
                ATN_in => ATN,
269
                ATN_out => ATN_1,
270
                DAV_in => DAV,
271
                DAV_out => DAV_1,
272
                NRFD_in => NRFD,
273
                NRFD_out => NRFD_1,
274
                NDAC_in => NDAC,
275
                NDAC_out => NDAC_1,
276
                EOI_in => EOI,
277
                EOI_out => EOI_1,
278
                SRQ_in => SRQ,
279
                SRQ_out => SRQ_1,
280
                IFC_in => IFC,
281
                IFC_out => IFC_1,
282
                REN_in => REN,
283
                REN_out => REN_1
284
                );
285
 
286
        -- Instantiate the Unit Under Test (UUT)
287
        gpib2: gpibInterface PORT MAP (
288
                clk => clk,
289
                reset => reset,
290
                isLE => '0',
291
                isTE => '0',
292
                lpeUsed => '0',
293
                fixedPpLine => "000",
294
                eosUsed => '0',
295
                eosMark => "00000000",
296
                myListAddr => "00010",
297
                myTalkAddr => "00010",
298
                secAddrMask => (others => '0'),
299
                data => data_2,
300
                status_byte => status_byte_2,
301
                T1 => T1,
302
                rdy => rdy_2,
303
                nba => nba_2,
304
                ltn => ltn_2,
305
                lun => lun_2,
306
                lon => lon_2,
307
                ton => ton_2,
308
                endOf => endOf_2,
309
                gts => gts_2,
310
                rpp => rpp_2,
311
                tcs => tcs_2,
312
                tca => tca_2,
313
                sic => sic_2,
314
                rsc => rsc_2,
315
                sre => sre_2,
316
                rtl => rtl_2,
317
                rsv => rsv_2,
318
                ist => ist_2,
319
                lpe => lpe_2,
320
                dvd => dvd_2,
321
                wnc => wnc_2,
322
                tac => tac_2,
323
                cwrc => cwrc_2,
324
                cwrd => cwrd_2,
325
                clr => clr_2,
326
                trg => trg_2,
327
                atl => atl_2,
328
                att => att_2,
329
                mla => mla_2,
330
                lsb => lsb_2,
331
                spa => spa_2,
332
                ppr => ppr_2,
333
                sreq => sreq_2,
334
                isLocal => isLocal_2,
335
                currentSecAddr => currentSecAddr_2,
336
                DI => DO,
337
                DO => DI_2,
338
                output_valid => output_valid_2,
339
                ATN_in => ATN,
340
                ATN_out => ATN_2,
341
                DAV_in => DAV,
342
                DAV_out => DAV_2,
343
                NRFD_in => NRFD,
344
                NRFD_out => NRFD_2,
345
                NDAC_in => NDAC,
346
                NDAC_out => NDAC_2,
347
                EOI_in => EOI,
348
                EOI_out => EOI_2,
349
                SRQ_in => SRQ,
350
                SRQ_out => SRQ_2,
351
                IFC_in => IFC,
352
                IFC_out => IFC_2,
353
                REN_in => REN,
354
                REN_out => REN_2
355
                );
356
 
357
        ce: gpibCableEmulator port map (
358
                -- interface signals
359
                DIO_1 => DI_1,
360
                output_valid_1 => output_valid_1,
361
                DIO_2 => DI_2,
362
                output_valid_2 => output_valid_2,
363
                DIO => DO,
364
                -- attention
365
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
366
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
367
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
368
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
369
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
370
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
371
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
372
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
373
        );
374
 
375
        gr: gpibReader generic map(ADDR_WIDTH => 4) port map(
376
                clk => clk, reset => reset,
377
                ------------------------------------------------------------------------
378
                ------ GPIB interface --------------------------------------------------
379
                ------------------------------------------------------------------------
380
                data_in => DO, dvd => dvd_2, atl => atl_2, lsb => lsb_2, rdy => rdy_2,
381
                ------------------------------------------------------------------------
382
                ------ external interface ----------------------------------------------
383
                ------------------------------------------------------------------------
384
                isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr,
385
                buf_interrupt => buf_interrupt, data_available => data_available,
386
                last_byte_addr => last_byte_addr, end_of_stream => end_of_stream,
387
                byte_addr => byte_addr, data_out => data_out,
388
                reset_buffer => reset_buffer
389
        );
390
 
391
        -- Clock process definitions
392
        clk_process :process
393
        begin
394
                clk <= '0';
395
                wait for clk_period/2;
396
                clk <= '1';
397
                wait for clk_period/2;
398
        end process;
399
 
400
 
401
        -- Stimulus process
402
        stim_proc: process
403
        begin
404
                -- hold reset state for 10 clock periods.
405
                reset <= '1';
406
                wait for clk_period*10;
407
                reset <= '0';
408
                wait for clk_period*10;
409
 
410
                -- requests system control
411
                rsc_1 <= '1';
412
 
413
                -- interface clear
414
                sic_1 <= '1';
415
                wait until IFC_1 = '1';
416
                sic_1 <= '0';
417
                wait until IFC_1 = '0';
418
 
419
                -- address gpib2 to listen
420
                data_1 <= "00100010";
421
                nba_1 <= '1';
422
                wait until DAV='1';
423
                nba_1 <= '0';
424
                wait for clk_period*20;
425
                -- address gpib1 to talk
426
                data_1 <= "01000001";
427
                wait for clk_period*1;
428
                nba_1 <= '1';
429
                wait until DAV='1';
430
                nba_1 <= '0';
431
                wait for clk_period*30;
432
 
433
                gts_1 <= '1';
434
                wait until ATN='0';
435
 
436
 
437
                -- send data to gpib2
438
                data_1 <= "10101010";
439
                nba_1 <= '1';
440
                wait until wnc_1='1';
441
                nba_1 <= '0';
442
                wait for clk_period*3;
443
                -- send end data to gpib2
444
                data_1 <= "10101010";
445
                endOf_1 <= '1';
446
 
447
                nba_1 <= '1';
448
                wait until wnc_1='1';
449
                nba_1 <= '0';
450
                --wait until wnc_1='0';
451
 
452
                wait until buf_interrupt = '1';
453
 
454
 
455
                byte_addr <= "0000";
456
                wait for clk_period*1;
457
                assert data_out = "10101010";
458
 
459
                byte_addr <= "0001";
460
                wait for clk_period*1;
461
                assert data_out = "10101010";
462
 
463
                report "$$$ END OF TEST - reader $$$";
464
 
465
                wait;
466
        end process;
467
 
468
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.