OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpibWriterReaderTest.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3 3 Andrewski
--
4 13 Andrewski
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17
-- Author: Andrzej Paluch
18
--
19 3 Andrewski
-- Create Date:   23:21:05 10/21/2011
20
-- Design Name:   
21
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
22
-- Project Name:  usbToHpib
23
-- Target Device:  
24
-- Tool versions:  
25
-- Description:   
26
-- 
27
-- VHDL Test Bench Created by ISE for module: gpibInterface
28
-- 
29
-- Dependencies:
30
-- 
31
-- Revision:
32
-- Revision 0.01 - File Created
33
-- Additional Comments:
34
--
35
-- Notes: 
36
-- This testbench has been automatically generated using types std_logic and
37
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
38
-- that these types always be used for the top-level I/O of a design in order
39
-- to guarantee that the testbench will bind correctly to the post-implementation 
40
-- simulation model.
41
--------------------------------------------------------------------------------
42
LIBRARY ieee;
43
USE ieee.std_logic_1164.ALL;
44
USE ieee.std_logic_unsigned.all;
45
USE ieee.numeric_std.ALL;
46
 
47
use work.gpibComponents.all;
48
use work.helperComponents.all;
49
 
50
 
51
ENTITY gpibWriterReaderTest IS
52
END gpibWriterReaderTest;
53
 
54
ARCHITECTURE behavior OF gpibWriterReaderTest IS
55
 
56
        -- Component Declaration for the Unit Under Test (UUT)
57
 
58
        component gpibCableEmulator is port (
59
                -- interface signals
60
                DIO_1 : in std_logic_vector (7 downto 0);
61
                output_valid_1 : in std_logic;
62
                DIO_2 : in std_logic_vector (7 downto 0);
63
                output_valid_2 : in std_logic;
64
                DIO : out std_logic_vector (7 downto 0);
65
                -- attention
66
                ATN_1 : in std_logic;
67
                ATN_2 : in std_logic;
68
                ATN : out std_logic;
69
                -- data valid
70
                DAV_1 : in std_logic;
71
                DAV_2 : in std_logic;
72
                DAV : out std_logic;
73
                -- not ready for data
74
                NRFD_1 : in std_logic;
75
                NRFD_2 : in std_logic;
76
                NRFD : out std_logic;
77
                -- no data accepted
78
                NDAC_1 : in std_logic;
79
                NDAC_2 : in std_logic;
80
                NDAC : out std_logic;
81
                -- end or identify
82
                EOI_1 : in std_logic;
83
                EOI_2 : in std_logic;
84
                EOI : out std_logic;
85
                -- service request
86
                SRQ_1 : in std_logic;
87
                SRQ_2 : in std_logic;
88
                SRQ : out std_logic;
89
                -- interface clear
90
                IFC_1 : in std_logic;
91
                IFC_2 : in std_logic;
92
                IFC : out std_logic;
93
                -- remote enable
94
                REN_1 : in std_logic;
95
                REN_2 : in std_logic;
96
                REN : out std_logic
97
        );
98
        end component;
99
 
100
        -- inputs common
101
        signal clk : std_logic := '0';
102
        signal reset : std_logic := '0';
103
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
104
 
105
        -- inputs 1
106
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
107
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
108
        signal rdy_1 : std_logic := '0';
109
        signal nba_1 : std_logic := '0';
110
        signal ltn_1 : std_logic := '0';
111
        signal lun_1 : std_logic := '0';
112
        signal lon_1 : std_logic := '0';
113
        signal ton_1 : std_logic := '0';
114
        signal endOf_1 : std_logic := '0';
115
        signal gts_1 : std_logic := '0';
116
        signal rpp_1 : std_logic := '0';
117
        signal tcs_1 : std_logic := '0';
118
        signal tca_1 : std_logic := '0';
119
        signal sic_1 : std_logic := '0';
120
        signal rsc_1 : std_logic := '0';
121
        signal sre_1 : std_logic := '0';
122
        signal rtl_1 : std_logic := '0';
123
        signal rsv_1 : std_logic := '0';
124
        signal ist_1 : std_logic := '0';
125
        signal lpe_1 : std_logic := '0';
126
 
127
        -- inputs 2
128
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
129
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
130
        signal rdy_2 : std_logic := '0';
131
        signal nba_2 : std_logic := '0';
132
        signal ltn_2 : std_logic := '0';
133
        signal lun_2 : std_logic := '0';
134
        signal lon_2 : std_logic := '0';
135
        signal ton_2 : std_logic := '0';
136
        signal endOf_2 : std_logic := '0';
137
        signal gts_2 : std_logic := '0';
138
        signal rpp_2 : std_logic := '0';
139
        signal tcs_2 : std_logic := '0';
140
        signal tca_2 : std_logic := '0';
141
        signal sic_2 : std_logic := '0';
142
        signal rsc_2 : std_logic := '0';
143
        signal sre_2 : std_logic := '0';
144
        signal rtl_2 : std_logic := '0';
145
        signal rsv_2 : std_logic := '0';
146
        signal ist_2 : std_logic := '0';
147
        signal lpe_2 : std_logic := '0';
148
 
149
        -- outputs 1
150
        signal dvd_1 : std_logic;
151
        signal wnc_1 : std_logic;
152
        signal tac_1 : std_logic;
153
        signal lac_1 : std_logic;
154
        signal cwrc_1 : std_logic;
155
        signal cwrd_1 : std_logic;
156
        signal clr_1 : std_logic;
157
        signal trg_1 : std_logic;
158
        signal atl_1 : std_logic;
159
        signal att_1 : std_logic;
160
        signal mla_1 : std_logic;
161
        signal lsb_1 : std_logic;
162
        signal spa_1 : std_logic;
163
        signal ppr_1 : std_logic;
164
        signal sreq_1 : std_logic;
165
        signal isLocal_1 : std_logic;
166
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
167
 
168
        -- outputs 2
169
        signal dvd_2 : std_logic;
170
        signal wnc_2 : std_logic;
171
        signal tac_2 : std_logic;
172
        signal lac_2 : std_logic;
173
        signal cwrc_2 : std_logic;
174
        signal cwrd_2 : std_logic;
175
        signal clr_2 : std_logic;
176
        signal trg_2 : std_logic;
177
        signal atl_2 : std_logic;
178
        signal att_2 : std_logic;
179
        signal mla_2 : std_logic;
180
        signal lsb_2 : std_logic;
181
        signal spa_2 : std_logic;
182
        signal ppr_2 : std_logic;
183
        signal sreq_2 : std_logic;
184
        signal isLocal_2 : std_logic;
185
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
186
 
187
        -- common
188
        signal DO : std_logic_vector (7 downto 0);
189
        signal DI_1 : std_logic_vector (7 downto 0);
190
        signal output_valid_1 : std_logic;
191
        signal DI_2 : std_logic_vector (7 downto 0);
192
        signal output_valid_2 : std_logic;
193
        signal ATN_1, ATN_2, ATN : std_logic;
194
        signal DAV_1, DAV_2, DAV : std_logic;
195
        signal NRFD_1, NRFD_2, NRFD : std_logic;
196
        signal NDAC_1, NDAC_2, NDAC : std_logic;
197
        signal EOI_1, EOI_2, EOI : std_logic;
198
        signal SRQ_1, SRQ_2, SRQ : std_logic;
199
        signal IFC_1, IFC_2, IFC : std_logic;
200
        signal REN_1, REN_2, REN : std_logic;
201
 
202
        type WR_BUF_TYPE is
203
                array (0 to 15) of std_logic_vector (7 downto 0);
204
 
205
        -- gpib reader
206
        signal buf_interrupt : std_logic;
207
        signal data_available : std_logic;
208
        signal last_byte_addr : std_logic_vector (3 downto 0);
209
        signal end_of_stream : std_logic;
210
        signal byte_addr : std_logic_vector (3 downto 0);
211
        signal data_out : std_logic_vector (7 downto 0);
212
        signal reset_buffer : std_logic := '0';
213
        signal dataSecAddr : std_logic_vector (4 downto 0);
214
        signal buf_strobe : std_logic;
215
        signal buffer_byte_mode : std_logic;
216
        signal read_buffer : WR_BUF_TYPE;
217
 
218
        -- gpib writer
219
        signal w_last_byte_addr : std_logic_vector (3 downto 0)
220
                := (others => '0');
221
        signal w_end_of_stream : std_logic := '0';
222
        signal w_data_available : std_logic := '0';
223
        signal w_buf_interrupt : std_logic;
224
        signal w_data_in : std_logic_vector (7 downto 0);
225
        signal w_byte_addr : std_logic_vector (3 downto 0);
226
        signal w_reset_buffer : std_logic := '0';
227
        signal w_buffer_byte_mode : std_logic;
228
        signal w_write_buffer : WR_BUF_TYPE;
229
 
230
        -- Clock period definitions
231
        constant clk_period : time := 2ps;
232
 
233
BEGIN
234
 
235
        -- Instantiate the Unit Under Test (UUT)
236
        gpib1: gpibInterface PORT MAP (
237
                clk => clk,
238
                reset => reset,
239
                isLE => '0',
240
                isTE => '0',
241
                lpeUsed => '0',
242
                fixedPpLine => "000",
243
                eosUsed => '0',
244
                eosMark => "00000000",
245
                myListAddr => "00001",
246
                myTalkAddr => "00001",
247
                secAddrMask => (others => '0'),
248
                data => data_1,
249
                status_byte => status_byte_1,
250
                T1 => T1,
251
                rdy => rdy_1,
252
                nba => nba_1,
253
                ltn => ltn_1,
254
                lun => lun_1,
255
                lon => lon_1,
256
                ton => ton_1,
257
                endOf => endOf_1,
258
                gts => gts_1,
259
                rpp => rpp_1,
260
                tcs => tcs_1,
261
                tca => tca_1,
262
                sic => sic_1,
263
                rsc => rsc_1,
264
                sre => sre_1,
265
                rtl => rtl_1,
266
                rsv => rsv_1,
267
                ist => ist_1,
268
                lpe => lpe_1,
269
                dvd => dvd_1,
270
                wnc => wnc_1,
271
                tac => tac_1,
272
                lac => lac_1,
273
                cwrc => cwrc_1,
274
                cwrd => cwrd_1,
275
                clr => clr_1,
276
                trg => trg_1,
277
                atl => atl_1,
278
                att => att_1,
279
                mla => mla_1,
280
                lsb => lsb_1,
281
                spa => spa_1,
282
                ppr => ppr_1,
283
                sreq => sreq_1,
284
                isLocal => isLocal_1,
285
                currentSecAddr => currentSecAddr_1,
286
                DI => DO,
287
                DO => DI_1,
288
                output_valid => output_valid_1,
289
                ATN_in => ATN,
290
                ATN_out => ATN_1,
291
                DAV_in => DAV,
292
                DAV_out => DAV_1,
293
                NRFD_in => NRFD,
294
                NRFD_out => NRFD_1,
295
                NDAC_in => NDAC,
296
                NDAC_out => NDAC_1,
297
                EOI_in => EOI,
298
                EOI_out => EOI_1,
299
                SRQ_in => SRQ,
300
                SRQ_out => SRQ_1,
301
                IFC_in => IFC,
302
                IFC_out => IFC_1,
303
                REN_in => REN,
304
                REN_out => REN_1
305
                );
306
 
307
        -- Instantiate the Unit Under Test (UUT)
308
        gpib2: gpibInterface PORT MAP (
309
                clk => clk,
310
                reset => reset,
311
                isLE => '0',
312
                isTE => '0',
313
                lpeUsed => '0',
314
                fixedPpLine => "000",
315
                eosUsed => '0',
316
                eosMark => "00000000",
317
                myListAddr => "00010",
318
                myTalkAddr => "00010",
319
                secAddrMask => (others => '0'),
320
                data => data_2,
321
                status_byte => status_byte_2,
322
                T1 => T1,
323
                rdy => rdy_2,
324
                nba => nba_2,
325
                ltn => ltn_2,
326
                lun => lun_2,
327
                lon => lon_2,
328
                ton => ton_2,
329
                endOf => endOf_2,
330
                gts => gts_2,
331
                rpp => rpp_2,
332
                tcs => tcs_2,
333
                tca => tca_2,
334
                sic => sic_2,
335
                rsc => rsc_2,
336
                sre => sre_2,
337
                rtl => rtl_2,
338
                rsv => rsv_2,
339
                ist => ist_2,
340
                lpe => lpe_2,
341
                dvd => dvd_2,
342
                wnc => wnc_2,
343
                tac => tac_2,
344
                lac => lac_2,
345
                cwrc => cwrc_2,
346
                cwrd => cwrd_2,
347
                clr => clr_2,
348
                trg => trg_2,
349
                atl => atl_2,
350
                att => att_2,
351
                mla => mla_2,
352
                lsb => lsb_2,
353
                spa => spa_2,
354
                ppr => ppr_2,
355
                sreq => sreq_2,
356
                isLocal => isLocal_2,
357
                currentSecAddr => currentSecAddr_2,
358
                DI => DO,
359
                DO => DI_2,
360
                output_valid => output_valid_2,
361
                ATN_in => ATN,
362
                ATN_out => ATN_2,
363
                DAV_in => DAV,
364
                DAV_out => DAV_2,
365
                NRFD_in => NRFD,
366
                NRFD_out => NRFD_2,
367
                NDAC_in => NDAC,
368
                NDAC_out => NDAC_2,
369
                EOI_in => EOI,
370
                EOI_out => EOI_2,
371
                SRQ_in => SRQ,
372
                SRQ_out => SRQ_2,
373
                IFC_in => IFC,
374
                IFC_out => IFC_2,
375
                REN_in => REN,
376
                REN_out => REN_2
377
                );
378
 
379
        ce: gpibCableEmulator port map (
380
                -- interface signals
381
                DIO_1 => DI_1,
382
                output_valid_1 => output_valid_1,
383
                DIO_2 => DI_2,
384
                output_valid_2 => output_valid_2,
385
                DIO => DO,
386
                -- attention
387
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
388
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
389
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
390
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
391
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
392
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
393
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
394
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
395
        );
396
 
397
        process (buf_strobe) begin
398
                if rising_edge(buf_strobe) then
399
                        read_buffer(conv_integer(w_byte_addr)) <= data_out;
400
                end if;
401
        end process;
402
 
403
        gr: gpibReader generic map (ADDR_WIDTH => 4) port map (
404
                clk => clk, reset => reset,
405
                ------------------------------------------------------------------------
406
                ------ GPIB interface --------------------------------------------------
407
                ------------------------------------------------------------------------
408
                data_in => DO, dvd => dvd_2, lac => lac_2, lsb => lsb_2, rdy => rdy_2,
409
                ------------------------------------------------------------------------
410
                ------ external interface ----------------------------------------------
411
                ------------------------------------------------------------------------
412
                isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr,
413
                buf_interrupt => buf_interrupt, data_available => data_available,
414
                last_byte_addr => last_byte_addr, end_of_stream => end_of_stream,
415
                byte_addr => byte_addr, data_out => data_out,
416
                buf_strobe => buf_strobe, buffer_byte_mode => buffer_byte_mode,
417
                reset_buffer => reset_buffer
418
        );
419
 
420
        w_data_in <= w_write_buffer(conv_integer(w_byte_addr));
421
 
422
        gw: gpibWriter generic map (ADDR_WIDTH => 4) port map (
423
                        clk => clk, reset => reset,
424
                        ------------------------------------------------------------------------
425
                        ------ GPIB interface --------------------------------------------------
426
                        ------------------------------------------------------------------------
427
                        data_out => data_1, wnc => wnc_1, spa => spa_1, nba => nba_1,
428
                        endOf => endOf_1, tac => tac_1, cwrc => cwrc_1,
429
                        ------------------------------------------------------------------------
430
                        ------ external interface ----------------------------------------------
431
                        ------------------------------------------------------------------------
432
                        isTE => '0', secAddr => (others => '0'), dataSecAddr => (others => '0'),
433
                        last_byte_addr => w_last_byte_addr, end_of_stream => w_end_of_stream,
434
                        data_available => w_data_available, buf_interrupt => w_buf_interrupt,
435
                        data_in => w_data_in, byte_addr => w_byte_addr,
436
                        buffer_byte_mode => w_buffer_byte_mode,
437
                        reset_buffer => w_reset_buffer
438
                );
439
 
440
        -- Clock process definitions
441
        clk_process :process
442
        begin
443
                clk <= '0';
444
                wait for clk_period/2;
445
                clk <= '1';
446
                wait for clk_period/2;
447
        end process;
448
 
449
 
450
        -- Stimulus process
451
        stim_proc: process
452
        begin
453
                -- hold reset state for 10 clock periods.
454
                reset <= '1';
455
                wait for clk_period*10;
456
                reset <= '0';
457
                wait for clk_period*10;
458
 
459
                -- requests system control
460
                rsc_1 <= '1';
461
 
462
                -- interface clear
463
                sic_1 <= '1';
464
                wait until IFC_1 = '1';
465
                sic_1 <= '0';
466
                wait until IFC_1 = '0';
467
 
468
                -- gpib2 to listen
469
                w_write_buffer(0) <= "00100010";
470
                -- gpib1 to talk
471
                w_write_buffer(1) <= "01000001";
472
                w_last_byte_addr <= "0001";
473
                w_end_of_stream <= '1';
474
                w_data_available <= '1';
475
 
476
                wait until w_buf_interrupt='1';
477
 
478
                gts_1 <= '1';
479
                wait until ATN='0';
480
 
481
                w_reset_buffer <= '1';
482
                wait for clk_period*2;
483
                w_reset_buffer <= '0';
484
 
485
                wait for clk_period*1;
486
 
487
                w_write_buffer(0) <= "10101010";
488
                w_write_buffer(1) <= "01010101";
489
                w_write_buffer(2) <= "11111111";
490
                w_last_byte_addr <= "0010";
491
                w_data_available <= '1';
492
 
493
                wait until buf_interrupt='1';
494
 
495
                wait for clk_period*1;
496
                assert read_buffer(0) = "10101010";
497
 
498
                wait for clk_period*1;
499
                assert read_buffer(1) = "01010101";
500
 
501
                wait for clk_period*1;
502
                assert read_buffer(2) = "11111111";
503
 
504
                report "$$$ END OF TEST - write read $$$";
505
 
506
                wait;
507
        end process;
508
 
509
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.