OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpib_PP_Test.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2 13 Andrewski
--This file is part of fpga_gpib_controller.
3 3 Andrewski
--
4 13 Andrewski
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
--
9
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
16
--------------------------------------------------------------------------------
17
-- Author: Andrzej Paluch
18
--
19 3 Andrewski
-- Create Date:   23:21:05 10/21/2011
20
-- Design Name:   
21
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
22
-- Project Name:  usbToHpib
23
-- Target Device:  
24
-- Tool versions:  
25
-- Description:   
26
-- 
27
-- VHDL Test Bench Created by ISE for module: gpibInterface
28
-- 
29
-- Dependencies:
30
-- 
31
-- Revision:
32
-- Revision 0.01 - File Created
33
-- Additional Comments:
34
--
35
-- Notes: 
36
-- This testbench has been automatically generated using types std_logic and
37
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
38
-- that these types always be used for the top-level I/O of a design in order
39
-- to guarantee that the testbench will bind correctly to the post-implementation 
40
-- simulation model.
41
--------------------------------------------------------------------------------
42
LIBRARY ieee;
43
USE ieee.std_logic_1164.ALL;
44
USE ieee.std_logic_unsigned.all;
45
USE ieee.numeric_std.ALL;
46
 
47
use work.gpibComponents.all;
48
use work.helperComponents.all;
49
 
50
 
51
ENTITY gpib_PP_Test IS
52
END gpib_PP_Test;
53
 
54
ARCHITECTURE behavior OF gpib_PP_Test IS
55
 
56
        -- Component Declaration for the Unit Under Test (UUT)
57
 
58
        component gpibCableEmulator is port (
59
                -- interface signals
60
                DIO_1 : in std_logic_vector (7 downto 0);
61
                output_valid_1 : in std_logic;
62
                DIO_2 : in std_logic_vector (7 downto 0);
63
                output_valid_2 : in std_logic;
64
                DIO : out std_logic_vector (7 downto 0);
65
                -- attention
66
                ATN_1 : in std_logic;
67
                ATN_2 : in std_logic;
68
                ATN : out std_logic;
69
                -- data valid
70
                DAV_1 : in std_logic;
71
                DAV_2 : in std_logic;
72
                DAV : out std_logic;
73
                -- not ready for data
74
                NRFD_1 : in std_logic;
75
                NRFD_2 : in std_logic;
76
                NRFD : out std_logic;
77
                -- no data accepted
78
                NDAC_1 : in std_logic;
79
                NDAC_2 : in std_logic;
80
                NDAC : out std_logic;
81
                -- end or identify
82
                EOI_1 : in std_logic;
83
                EOI_2 : in std_logic;
84
                EOI : out std_logic;
85
                -- service request
86
                SRQ_1 : in std_logic;
87
                SRQ_2 : in std_logic;
88
                SRQ : out std_logic;
89
                -- interface clear
90
                IFC_1 : in std_logic;
91
                IFC_2 : in std_logic;
92
                IFC : out std_logic;
93
                -- remote enable
94
                REN_1 : in std_logic;
95
                REN_2 : in std_logic;
96
                REN : out std_logic
97
        );
98
        end component;
99
 
100
        -- inputs common
101
        signal clk : std_logic := '0';
102
        signal reset : std_logic := '0';
103
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
104
 
105
        -- inputs 1
106
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
107
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
108
        signal rdy_1 : std_logic := '0';
109
        signal nba_1 : std_logic := '0';
110
        signal ltn_1 : std_logic := '0';
111
        signal lun_1 : std_logic := '0';
112
        signal lon_1 : std_logic := '0';
113
        signal ton_1 : std_logic := '0';
114
        signal endOf_1 : std_logic := '0';
115
        signal gts_1 : std_logic := '0';
116
        signal rpp_1 : std_logic := '0';
117
        signal tcs_1 : std_logic := '0';
118
        signal tca_1 : std_logic := '0';
119
        signal sic_1 : std_logic := '0';
120
        signal rsc_1 : std_logic := '0';
121
        signal sre_1 : std_logic := '0';
122
        signal rtl_1 : std_logic := '0';
123
        signal rsv_1 : std_logic := '0';
124
        signal ist_1 : std_logic := '0';
125
        signal lpe_1 : std_logic := '0';
126
 
127
        -- inputs 2
128
        signal lpeUsed_2 : std_logic := '0';
129
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
130
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
131
        signal rdy_2 : std_logic := '0';
132
        signal nba_2 : std_logic := '0';
133
        signal ltn_2 : std_logic := '0';
134
        signal lun_2 : std_logic := '0';
135
        signal lon_2 : std_logic := '0';
136
        signal ton_2 : std_logic := '0';
137
        signal endOf_2 : std_logic := '0';
138
        signal gts_2 : std_logic := '0';
139
        signal rpp_2 : std_logic := '0';
140
        signal tcs_2 : std_logic := '0';
141
        signal tca_2 : std_logic := '0';
142
        signal sic_2 : std_logic := '0';
143
        signal rsc_2 : std_logic := '0';
144
        signal sre_2 : std_logic := '0';
145
        signal rtl_2 : std_logic := '0';
146
        signal rsv_2 : std_logic := '0';
147
        signal ist_2 : std_logic := '0';
148
        signal lpe_2 : std_logic := '0';
149
 
150
        -- outputs 1
151
        signal dvd_1 : std_logic;
152
        signal wnc_1 : std_logic;
153
        signal tac_1 : std_logic;
154
        signal cwrc_1 : std_logic;
155
        signal cwrd_1 : std_logic;
156
        signal clr_1 : std_logic;
157
        signal trg_1 : std_logic;
158
        signal atl_1 : std_logic;
159
        signal att_1 : std_logic;
160
        signal mla_1 : std_logic;
161
        signal lsb_1 : std_logic;
162
        signal spa_1 : std_logic;
163
        signal ppr_1 : std_logic;
164
        signal sreq_1 : std_logic;
165
        signal isLocal_1 : std_logic;
166
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
167
 
168
        -- outputs 2
169
        signal dvd_2 : std_logic;
170
        signal wnc_2 : std_logic;
171
        signal tac_2 : std_logic;
172
        signal cwrc_2 : std_logic;
173
        signal cwrd_2 : std_logic;
174
        signal clr_2 : std_logic;
175
        signal trg_2 : std_logic;
176
        signal atl_2 : std_logic;
177
        signal att_2 : std_logic;
178
        signal mla_2 : std_logic;
179
        signal lsb_2 : std_logic;
180
        signal spa_2 : std_logic;
181
        signal ppr_2 : std_logic;
182
        signal sreq_2 : std_logic;
183
        signal isLocal_2 : std_logic;
184
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
185
 
186
        -- common
187
        signal DO : std_logic_vector (7 downto 0);
188
        signal DI_1 : std_logic_vector (7 downto 0);
189
        signal output_valid_1 : std_logic;
190
        signal DI_2 : std_logic_vector (7 downto 0);
191
        signal output_valid_2 : std_logic;
192
        signal ATN_1, ATN_2, ATN : std_logic;
193
        signal DAV_1, DAV_2, DAV : std_logic;
194
        signal NRFD_1, NRFD_2, NRFD : std_logic;
195
        signal NDAC_1, NDAC_2, NDAC : std_logic;
196
        signal EOI_1, EOI_2, EOI : std_logic;
197
        signal SRQ_1, SRQ_2, SRQ : std_logic;
198
        signal IFC_1, IFC_2, IFC : std_logic;
199
        signal REN_1, REN_2, REN : std_logic;
200
 
201
        -- gpib reader
202
        signal buf_interrupt : std_logic;
203
        signal data_available : std_logic;
204
        signal last_byte_addr : std_logic_vector (3 downto 0);
205
        signal end_of_stream : std_logic;
206
        signal byte_addr : std_logic_vector (3 downto 0);
207
        signal data_out : std_logic_vector (7 downto 0);
208
        signal reset_buffer : std_logic := '0';
209
        signal dataSecAddr : std_logic_vector (4 downto 0);
210
 
211
        -- gpib writer
212
        signal w_last_byte_addr : std_logic_vector (3 downto 0)
213
                := (others => '0');
214
        signal w_end_of_stream : std_logic := '0';
215
        signal w_data_available : std_logic := '0';
216
        signal w_buf_interrupt : std_logic;
217
        signal w_data_in : std_logic_vector (7 downto 0);
218
        signal w_byte_addr : std_logic_vector (3 downto 0);
219
        signal w_reset_buffer : std_logic := '0';
220
        type WR_BUF_TYPE is
221
                array (0 to 15) of std_logic_vector (7 downto 0);
222
        signal w_write_buffer : WR_BUF_TYPE;
223
 
224
        -- Clock period definitions
225
        constant clk_period : time := 2ps;
226
 
227
BEGIN
228
 
229
        -- Instantiate the Unit Under Test (UUT)
230
        gpib1: gpibInterface PORT MAP (
231
                clk => clk,
232
                reset => reset,
233
                isLE => '0',
234
                isTE => '0',
235
                lpeUsed => '0',
236
                fixedPpLine => "000",
237
                eosUsed => '0',
238
                eosMark => "00000000",
239
                myListAddr => "00001",
240
                myTalkAddr => "00001",
241
                secAddrMask => (others => '0'),
242
                data => data_1,
243
                status_byte => status_byte_1,
244
                T1 => T1,
245
                rdy => rdy_1,
246
                nba => nba_1,
247
                ltn => ltn_1,
248
                lun => lun_1,
249
                lon => lon_1,
250
                ton => ton_1,
251
                endOf => endOf_1,
252
                gts => gts_1,
253
                rpp => rpp_1,
254
                tcs => tcs_1,
255
                tca => tca_1,
256
                sic => sic_1,
257
                rsc => rsc_1,
258
                sre => sre_1,
259
                rtl => rtl_1,
260
                rsv => rsv_1,
261
                ist => ist_1,
262
                lpe => lpe_1,
263
                dvd => dvd_1,
264
                wnc => wnc_1,
265
                tac => tac_1,
266
                cwrc => cwrc_1,
267
                cwrd => cwrd_1,
268
                clr => clr_1,
269
                trg => trg_1,
270
                atl => atl_1,
271
                att => att_1,
272
                mla => mla_1,
273
                lsb => lsb_1,
274
                spa => spa_1,
275
                ppr => ppr_1,
276
                sreq => sreq_1,
277
                isLocal => isLocal_1,
278
                currentSecAddr => currentSecAddr_1,
279
                DI => DO,
280
                DO => DI_1,
281
                output_valid => output_valid_1,
282
                ATN_in => ATN,
283
                ATN_out => ATN_1,
284
                DAV_in => DAV,
285
                DAV_out => DAV_1,
286
                NRFD_in => NRFD,
287
                NRFD_out => NRFD_1,
288
                NDAC_in => NDAC,
289
                NDAC_out => NDAC_1,
290
                EOI_in => EOI,
291
                EOI_out => EOI_1,
292
                SRQ_in => SRQ,
293
                SRQ_out => SRQ_1,
294
                IFC_in => IFC,
295
                IFC_out => IFC_1,
296
                REN_in => REN,
297
                REN_out => REN_1
298
                );
299
 
300
        -- Instantiate the Unit Under Test (UUT)
301
        gpib2: gpibInterface PORT MAP (
302
                clk => clk,
303
                reset => reset,
304
                isLE => '0',
305
                isTE => '0',
306
                lpeUsed => lpeUsed_2,
307
                fixedPpLine => "001",
308
                eosUsed => '0',
309
                eosMark => "00000000",
310
                myListAddr => "00010",
311
                myTalkAddr => "00010",
312
                secAddrMask => (others => '0'),
313
                data => data_2,
314
                status_byte => status_byte_2,
315
                T1 => T1,
316
                rdy => rdy_2,
317
                nba => nba_2,
318
                ltn => ltn_2,
319
                lun => lun_2,
320
                lon => lon_2,
321
                ton => ton_2,
322
                endOf => endOf_2,
323
                gts => gts_2,
324
                rpp => rpp_2,
325
                tcs => tcs_2,
326
                tca => tca_2,
327
                sic => sic_2,
328
                rsc => rsc_2,
329
                sre => sre_2,
330
                rtl => rtl_2,
331
                rsv => rsv_2,
332
                ist => ist_2,
333
                lpe => lpe_2,
334
                dvd => dvd_2,
335
                wnc => wnc_2,
336
                tac => tac_2,
337
                cwrc => cwrc_2,
338
                cwrd => cwrd_2,
339
                clr => clr_2,
340
                trg => trg_2,
341
                atl => atl_2,
342
                att => att_2,
343
                mla => mla_2,
344
                lsb => lsb_2,
345
                spa => spa_2,
346
                ppr => ppr_2,
347
                sreq => sreq_2,
348
                isLocal => isLocal_2,
349
                currentSecAddr => currentSecAddr_2,
350
                DI => DO,
351
                DO => DI_2,
352
                output_valid => output_valid_2,
353
                ATN_in => ATN,
354
                ATN_out => ATN_2,
355
                DAV_in => DAV,
356
                DAV_out => DAV_2,
357
                NRFD_in => NRFD,
358
                NRFD_out => NRFD_2,
359
                NDAC_in => NDAC,
360
                NDAC_out => NDAC_2,
361
                EOI_in => EOI,
362
                EOI_out => EOI_2,
363
                SRQ_in => SRQ,
364
                SRQ_out => SRQ_2,
365
                IFC_in => IFC,
366
                IFC_out => IFC_2,
367
                REN_in => REN,
368
                REN_out => REN_2
369
                );
370
 
371
        ce: gpibCableEmulator port map (
372
                -- interface signals
373
                DIO_1 => DI_1,
374
                output_valid_1 => output_valid_1,
375
                DIO_2 => DI_2,
376
                output_valid_2 => output_valid_2,
377
                DIO => DO,
378
                -- attention
379
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
380
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
381
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
382
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
383
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
384
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
385
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
386
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
387
        );
388
 
389
        gr: gpibReader generic map (ADDR_WIDTH => 4) port map (
390
                clk => clk, reset => reset,
391
                ------------------------------------------------------------------------
392
                ------ GPIB interface --------------------------------------------------
393
                ------------------------------------------------------------------------
394
                data_in => DO, dvd => dvd_2, atl => atl_2, lsb => lsb_2, rdy => rdy_2,
395
                ------------------------------------------------------------------------
396
                ------ external interface ----------------------------------------------
397
                ------------------------------------------------------------------------
398
                isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr,
399
                buf_interrupt => buf_interrupt, data_available => data_available,
400
                last_byte_addr => last_byte_addr, end_of_stream => end_of_stream,
401
                byte_addr => byte_addr, data_out => data_out,
402
                reset_buffer => reset_buffer
403
        );
404
 
405
        w_data_in <= w_write_buffer(conv_integer(w_byte_addr));
406
 
407
        gw: gpibWriter generic map (ADDR_WIDTH => 4) port map (
408
                        clk => clk, reset => reset,
409
                        ------------------------------------------------------------------------
410
                        ------ GPIB interface --------------------------------------------------
411
                        ------------------------------------------------------------------------
412
                        data_out => data_1, wnc => wnc_1, spa => spa_1, nba => nba_1,
413
                        endOf => endOf_1, att => att_1, cwrc => cwrc_1,
414
                        ------------------------------------------------------------------------
415
                        ------ external interface ----------------------------------------------
416
                        ------------------------------------------------------------------------
417
                        isTE => '0', secAddr => (others => '0'), dataSecAddr => (others => '0'),
418
                        last_byte_addr => w_last_byte_addr, end_of_stream => w_end_of_stream,
419
                        data_available => w_data_available, buf_interrupt => w_buf_interrupt,
420
                        data_in => w_data_in, byte_addr => w_byte_addr,
421
                        reset_buffer => w_reset_buffer
422
                );
423
 
424
        -- Clock process definitions
425
        clk_process :process
426
        begin
427
                clk <= '0';
428
                wait for clk_period/2;
429
                clk <= '1';
430
                wait for clk_period/2;
431
        end process;
432
 
433
 
434
        -- Stimulus process
435
        stim_proc: process
436
        begin
437
                -- hold reset state for 10 clock periods.
438
                reset <= '1';
439
                wait for clk_period*10;
440
                reset <= '0';
441
                wait for clk_period*10;
442
 
443
                -- requests system control
444
                rsc_1 <= '1';
445
                -- interface clear
446
                sic_1 <= '1';
447
                wait until IFC_1 = '1';
448
                sic_1 <= '0';
449
                wait until IFC_1 = '0';
450
 
451
                -- gpib2 to listen
452
                w_write_buffer(0) <= "00100010";
453
                -- gpib1 to talk
454
                w_write_buffer(1) <= "01000001";
455
                -- PP configure
456
                w_write_buffer(2) <= "00000101";
457
                -- PP enable line no. 3
458
                w_write_buffer(3) <= "01101011";
459
                w_last_byte_addr <= "0011";
460
                w_end_of_stream <= '1';
461
                w_data_available <= '1';
462
 
463
                wait until w_buf_interrupt = '1';
464
 
465
                ist_2 <= '1';
466
 
467
                rpp_1 <= '1';
468
 
469
                wait until ppr_1 = '1';
470
 
471
                assert DO = "00001000";
472
 
473
                rpp_1 <= '0';
474
 
475
                wait until cwrc_1 = '1';
476
 
477
                w_reset_buffer <= '1';
478
                wait for clk_period*1;
479
                w_reset_buffer <= '0';
480
                wait for clk_period*1;
481
 
482
                -- PP disable
483
                w_write_buffer(0) <= "01110000";
484
                w_last_byte_addr <= "0000";
485
                w_data_available <= '1';
486
 
487
                wait until w_buf_interrupt = '1';
488
 
489
                lpeUsed_2 <= '1';
490
                lpe_2 <= '1';
491
 
492
                rpp_1 <= '1';
493
 
494
                wait until ppr_1 = '1';
495
 
496
                assert DO = "00000010";
497
 
498
                report "$$$ END OF TEST - parallel poll $$$";
499
 
500
                wait;
501
        end process;
502
 
503
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.