OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [Debouncer.cmd_log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 OmarMokhta
vhdtdtfi -prj LineFPGA -o /home/omar/LineFPGA/Debouncer.vhi -lib work /home/omar/LineFPGA//Debouncer.vhd -module Debouncer -template /home/omar/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror
2
vhdtdtfi -prj LineFPGA -o /home/omar/LineFPGA/Debouncer.vhi -lib work /home/omar/LineFPGA//Debouncer.vhd -module Debouncer -template /home/omar/ISE_DS/ISE//data/vhdlinst.tft -deleteonerror

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.