OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [FreqDiv.vhi] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 OmarMokhta
 
2
-- VHDL Instantiation Created from source file FreqDiv.vhd -- 10:14:57 05/14/2011
3
--
4
-- Notes:
5
-- 1) This instantiation template has been automatically generated using types
6
-- std_logic and std_logic_vector for the ports of the instantiated module
7
-- 2) To use this template to instantiate this entity, cut-and-paste and then edit
8
 
9
        COMPONENT FreqDiv
10
        PORT(
11
                Clk : IN std_logic;
12
                Clk2 : OUT std_logic
13
                );
14
        END COMPONENT;
15
 
16
        Inst_FreqDiv: FreqDiv PORT MAP(
17
                Clk => ,
18
                Clk2 =>
19
        );
20
 
21
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.