OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [Pointer.vhi] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 OmarMokhta
 
2
-- VHDL Instantiation Created from source file Pointer.vhd -- 09:51:32 05/14/2011
3
--
4
-- Notes:
5
-- 1) This instantiation template has been automatically generated using types
6
-- std_logic and std_logic_vector for the ports of the instantiated module
7
-- 2) To use this template to instantiate this entity, cut-and-paste and then edit
8
 
9
        COMPONENT Pointer
10
        PORT(
11
                MoveUp : IN std_logic;
12
                MoveDown : IN std_logic;
13
                MoveLeft : IN std_logic;
14
                MoveRight : IN std_logic;
15
                Move : IN std_logic;
16
                Clk : IN std_logic;
17
                syncX : IN std_logic_vector(9 downto 0);
18
                syncY : IN std_logic_vector(8 downto 0);
19
                Here : OUT std_logic
20
                );
21
        END COMPONENT;
22
 
23
        Inst_Pointer: Pointer PORT MAP(
24
                MoveUp => ,
25
                MoveDown => ,
26
                MoveLeft => ,
27
                MoveRight => ,
28
                Move => ,
29
                Clk => ,
30
                Here => ,
31
                syncX => ,
32
                syncY =>
33
        );
34
 
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.