OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [VGA_Top.syr] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 OmarMokhta
Release 13.1 - xst O.40d (lin)
2
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
-->
4
Parameter TMPDIR set to xst/projnav.tmp
5
 
6
 
7
Total REAL time to Xst completion: 0.00 secs
8
Total CPU time to Xst completion: 0.07 secs
9
 
10
-->
11
Parameter xsthdpdir set to xst
12
 
13
 
14
Total REAL time to Xst completion: 0.00 secs
15
Total CPU time to Xst completion: 0.07 secs
16
 
17
-->
18
Reading design: VGA_Top.prj
19
 
20
TABLE OF CONTENTS
21
  1) Synthesis Options Summary
22
  2) HDL Compilation
23
  3) Design Hierarchy Analysis
24
  4) HDL Analysis
25
  5) HDL Synthesis
26
     5.1) HDL Synthesis Report
27
  6) Advanced HDL Synthesis
28
     6.1) Advanced HDL Synthesis Report
29
  7) Low Level Synthesis
30
  8) Partition Report
31
  9) Final Report
32
        9.1) Device utilization summary
33
        9.2) Partition Resource Summary
34
        9.3) TIMING REPORT
35
 
36
 
37
=========================================================================
38
*                      Synthesis Options Summary                        *
39
=========================================================================
40
---- Source Parameters
41
Input File Name                    : "VGA_Top.prj"
42
Input Format                       : mixed
43
Ignore Synthesis Constraint File   : NO
44
 
45
---- Target Parameters
46
Output File Name                   : "VGA_Top"
47
Output Format                      : NGC
48
Target Device                      : xc3s200-5-ft256
49
 
50
---- Source Options
51
Top Module Name                    : VGA_Top
52
Automatic FSM Extraction           : YES
53
FSM Encoding Algorithm             : Auto
54
Safe Implementation                : No
55
FSM Style                          : LUT
56
RAM Extraction                     : Yes
57
RAM Style                          : Auto
58
ROM Extraction                     : Yes
59
Mux Style                          : Auto
60
Decoder Extraction                 : YES
61
Priority Encoder Extraction        : Yes
62
Shift Register Extraction          : YES
63
Logical Shifter Extraction         : YES
64
XOR Collapsing                     : YES
65
ROM Style                          : Auto
66
Mux Extraction                     : Yes
67
Resource Sharing                   : YES
68
Asynchronous To Synchronous        : NO
69
Multiplier Style                   : Auto
70
Automatic Register Balancing       : No
71
 
72
---- Target Options
73
Add IO Buffers                     : YES
74
Global Maximum Fanout              : 500
75
Add Generic Clock Buffer(BUFG)     : 8
76
Register Duplication               : YES
77
Slice Packing                      : YES
78
Optimize Instantiated Primitives   : NO
79
Use Clock Enable                   : Yes
80
Use Synchronous Set                : Yes
81
Use Synchronous Reset              : Yes
82
Pack IO Registers into IOBs        : Auto
83
Equivalent register Removal        : YES
84
 
85
---- General Options
86
Optimization Goal                  : Speed
87
Optimization Effort                : 1
88
Keep Hierarchy                     : No
89
Netlist Hierarchy                  : As_Optimized
90
RTL Output                         : Yes
91
Global Optimization                : AllClockNets
92
Read Cores                         : YES
93
Write Timing Constraints           : NO
94
Cross Clock Analysis               : NO
95
Hierarchy Separator                : /
96
Bus Delimiter                      : <>
97
Case Specifier                     : Maintain
98
Slice Utilization Ratio            : 100
99
BRAM Utilization Ratio             : 100
100
Verilog 2001                       : YES
101
Auto BRAM Packing                  : NO
102
Slice Utilization Ratio Delta      : 5
103
 
104
=========================================================================
105
 
106
 
107
=========================================================================
108
*                          HDL Compilation                              *
109
=========================================================================
110
Compiling vhdl file "/home/omar/LineFPGA/FrameBuffer2.vhd" in Library work.
111
Architecture behavioral of Entity framebuffer is up to date.
112
Compiling vhdl file "/home/omar/LineFPGA/Synchronizer.vhd" in Library work.
113
Architecture behavioral of Entity synchronizer is up to date.
114
Compiling vhdl file "/home/omar/LineFPGA/Debouncer.vhd" in Library work.
115
Architecture behavioral of Entity debouncer is up to date.
116
Compiling vhdl file "/home/omar/LineFPGA/Bresenhamer.vhd" in Library work.
117
Entity  compiled.
118
Entity  (Architecture ) compiled.
119
Compiling vhdl file "/home/omar/LineFPGA/SevenSegment.vhd" in Library work.
120
Architecture behavioral of Entity sevensegment is up to date.
121
Compiling vhdl file "/home/omar/LineFPGA/Pointer.vhd" in Library work.
122
Architecture behavioral of Entity pointer is up to date.
123
Compiling vhdl file "/home/omar/LineFPGA/FreqDiv.vhd" in Library work.
124
Architecture behavioral of Entity freqdiv is up to date.
125
Compiling vhdl file "/home/omar/LineFPGA/VGA_Top.vhd" in Library work.
126
Architecture behavioral of Entity vga_top is up to date.
127
 
128
=========================================================================
129
*                     Design Hierarchy Analysis                         *
130
=========================================================================
131
Analyzing hierarchy for entity  in library  (architecture ).
132
 
133
Analyzing hierarchy for entity  in library  (architecture ).
134
 
135
Analyzing hierarchy for entity  in library  (architecture ).
136
 
137
Analyzing hierarchy for entity  in library  (architecture ).
138
 
139
Analyzing hierarchy for entity  in library  (architecture ).
140
 
141
Analyzing hierarchy for entity  in library  (architecture ).
142
 
143
Analyzing hierarchy for entity  in library  (architecture ) with generics.
144
        initX = "0000000100"
145
        initY = "011110000"
146
 
147
Analyzing hierarchy for entity  in library  (architecture ).
148
 
149
Analyzing hierarchy for entity  in library  (architecture ) with generics.
150
        initX = "1001111000"
151
        initY = "011110000"
152
 
153
 
154
=========================================================================
155
*                            HDL Analysis                               *
156
=========================================================================
157
Analyzing Entity  in library  (Architecture ).
158
WARNING:Xst:753 - "/home/omar/LineFPGA/VGA_Top.vhd" line 127: Unconnected output port 'dbg' of component 'Bresenhamer'.
159
Entity  analyzed. Unit  generated.
160
 
161
Analyzing Entity  in library  (Architecture ).
162
Entity  analyzed. Unit  generated.
163
 
164
Analyzing Entity  in library  (Architecture ).
165
Entity  analyzed. Unit  generated.
166
 
167
Analyzing Entity  in library  (Architecture ).
168
Entity  analyzed. Unit  generated.
169
 
170
Analyzing Entity  in library  (Architecture ).
171
INFO:Xst:2679 - Register > in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
172
INFO:Xst:2679 - Register > in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
173
INFO:Xst:2679 - Register > in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
174
INFO:Xst:2679 - Register > in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
175
INFO:Xst:2679 - Register > in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
176
Entity  analyzed. Unit  generated.
177
 
178
Analyzing Entity  in library  (Architecture ).
179
Entity  analyzed. Unit  generated.
180
 
181
Analyzing generic Entity  in library  (Architecture ).
182
        initX = "0000000100"
183
        initY = "011110000"
184
Entity  analyzed. Unit  generated.
185
 
186
Analyzing Entity  in library  (Architecture ).
187
Entity  analyzed. Unit  generated.
188
 
189
Analyzing generic Entity  in library  (Architecture ).
190
        initX = "1001111000"
191
        initY = "011110000"
192
Entity  analyzed. Unit  generated.
193
 
194
 
195
=========================================================================
196
*                           HDL Synthesis                               *
197
=========================================================================
198
 
199
Performing bidirectional port resolution...
200
 
201
Synthesizing Unit .
202
    Related source file is "/home/omar/LineFPGA/FrameBuffer2.vhd".
203
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
204
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
205
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
206
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
207
    Found 32768x3-bit dual-port RAM  for signal .
208
    Found 3-bit register for signal .
209
    Summary:
210
        inferred   1 RAM(s).
211
        inferred   3 D-type flip-flop(s).
212
Unit  synthesized.
213
 
214
 
215
Synthesizing Unit .
216
    Related source file is "/home/omar/LineFPGA/Synchronizer.vhd".
217
    Found finite state machine  for signal .
218
    -----------------------------------------------------------------------
219
    | States             | 4                                              |
220
    | Transitions        | 43                                             |
221
    | Inputs             | 5                                              |
222
    | Outputs            | 8                                              |
223
    | Clock              | Clk                       (rising_edge)        |
224
    | Reset              | YState$and0000            (positive)           |
225
    | Reset type         | synchronous                                    |
226
    | Reset State        | 01                                             |
227
    | Power Up State     | 00                                             |
228
    | Encoding           | automatic                                      |
229
    | Implementation     | LUT                                            |
230
    -----------------------------------------------------------------------
231
    Found finite state machine  for signal .
232
    -----------------------------------------------------------------------
233
    | States             | 4                                              |
234
    | Transitions        | 48364                                          |
235
    | Inputs             | 23                                             |
236
    | Outputs            | 5                                              |
237
    | Clock              | Clk                       (rising_edge)        |
238
    | Clock enable       | XState$not0000            (positive)           |
239
    | Power Up State     | 00                                             |
240
    | Encoding           | automatic                                      |
241
    | Implementation     | LUT                                            |
242
    -----------------------------------------------------------------------
243
    Found 9-bit subtractor for signal .
244
    Found 9-bit register for signal .
245
    Found 9-bit adder for signal .
246
    Found 11-bit adder for signal .
247
    Found 11-bit register for signal .
248
    Found 21-bit up counter for signal .
249
    Summary:
250
        inferred   2 Finite State Machine(s).
251
        inferred   1 Counter(s).
252
        inferred  20 D-type flip-flop(s).
253
        inferred   3 Adder/Subtractor(s).
254
Unit  synthesized.
255
 
256
 
257
Synthesizing Unit .
258
    Related source file is "/home/omar/LineFPGA/Debouncer.vhd".
259
    Found 2-bit register for signal .
260
    Found 24-bit register for signal .
261
    Found 24-bit addsub for signal .
262
    Summary:
263
        inferred  26 D-type flip-flop(s).
264
        inferred   1 Adder/Subtractor(s).
265
Unit  synthesized.
266
 
267
 
268
Synthesizing Unit .
269
    Related source file is "/home/omar/LineFPGA/Bresenhamer.vhd".
270
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
271
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
272
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
273
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
274
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
275
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
276
    Found finite state machine  for signal .
277
    -----------------------------------------------------------------------
278
    | States             | 11                                             |
279
    | Transitions        | 29                                             |
280
    | Inputs             | 11                                             |
281
    | Outputs            | 14                                             |
282
    | Clock              | Clk                       (rising_edge)        |
283
    | Power Up State     | 0000                                           |
284
    | Encoding           | automatic                                      |
285
    | Implementation     | LUT                                            |
286
    -----------------------------------------------------------------------
287
    Found 19-bit up counter for signal .
288
    Found 12-bit register for signal .
289
    Found 12-bit adder for signal .
290
    Found 12-bit adder for signal .
291
    Found 12-bit register for signal .
292
    Found 12-bit adder for signal .
293
    Found 12-bit adder for signal .
294
    Found 12-bit register for signal .
295
    Found 12-bit adder for signal  created at line 127.
296
    Found 12-bit subtractor for signal  created at line 150.
297
    Found 10-bit register for signal >.
298
    Found 12-bit register for signal .
299
    Found 12-bit adder for signal  created at line 130.
300
    Found 12-bit subtractor for signal  created at line 170.
301
    Found 9-bit register for signal >.
302
    Found 12-bit subtractor for signal .
303
    Found 12-bit subtractor for signal .
304
    Found 12-bit adder for signal .
305
    Found 12-bit adder for signal .
306
    Found 12-bit register for signal 

.

307
    Found 12-bit adder for signal .
308
    Found 12-bit adder for signal .
309
    Found 12-bit adder for signal .
310
    Found 12-bit adder for signal .
311
    Found 12-bit adder for signal  created at line 66.
312
    Found 12-bit adder for signal  created at line 67.
313
    Found 12-bit adder for signal  created at line 68.
314
    Found 12-bit adder for signal  created at line 69.
315
    Found 12-bit adder for signal  created at line 69.
316
    Found 12-bit adder for signal  created at line 70.
317
    Found 12-bit adder for signal  created at line 71.
318
    Found 12-bit adder for signal  created at line 71.
319
    Found 12-bit adder for signal  created at line 72.
320
    Found 12-bit adder for signal  created at line 72.
321
    Found 12-bit adder for signal  created at line 73.
322
    Found 12-bit adder for signal  created at line 73.
323
    Found 12-bit comparator equal for signal  created at line 124.
324
    Found 12-bit comparator equal for signal  created at line 134.
325
    Summary:
326
        inferred   1 Finite State Machine(s).
327
        inferred   1 Counter(s).
328
        inferred  79 D-type flip-flop(s).
329
        inferred  28 Adder/Subtractor(s).
330
        inferred   2 Comparator(s).
331
Unit  synthesized.
332
 
333
 
334
Synthesizing Unit .
335
    Related source file is "/home/omar/LineFPGA/SevenSegment.vhd".
336
    Found 16x7-bit ROM for signal .
337
    Found 1-of-4 decoder for signal .
338
    Found 4-bit 4-to-1 multiplexer for signal .
339
    Found 17-bit up counter for signal .
340
    Summary:
341
        inferred   1 ROM(s).
342
        inferred   1 Counter(s).
343
        inferred   4 Multiplexer(s).
344
        inferred   1 Decoder(s).
345
Unit  synthesized.
346
 
347
 
348
Synthesizing Unit .
349
    Related source file is "/home/omar/LineFPGA/Pointer.vhd".
350
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
351
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
352
    Found 7-bit comparator equal for signal  created at line 24.
353
    Found 6-bit comparator equal for signal  created at line 24.
354
    Found 10-bit updown counter for signal .
355
    Found 9-bit updown counter for signal .
356
    Summary:
357
        inferred   2 Counter(s).
358
        inferred   2 Comparator(s).
359
Unit  synthesized.
360
 
361
 
362
Synthesizing Unit .
363
    Related source file is "/home/omar/LineFPGA/FreqDiv.vhd".
364
    Found 20-bit up counter for signal .
365
    Summary:
366
        inferred   1 Counter(s).
367
Unit  synthesized.
368
 
369
 
370
Synthesizing Unit .
371
    Related source file is "/home/omar/LineFPGA/Pointer.vhd".
372
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
373
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
374
    Found 7-bit comparator equal for signal  created at line 24.
375
    Found 6-bit comparator equal for signal  created at line 24.
376
    Found 10-bit updown counter for signal .
377
    Found 9-bit updown counter for signal .
378
    Summary:
379
        inferred   2 Counter(s).
380
        inferred   2 Comparator(s).
381
Unit  synthesized.
382
 
383
 
384
Synthesizing Unit .
385
    Related source file is "/home/omar/LineFPGA/VGA_Top.vhd".
386
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
387
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
388
Unit  synthesized.
389
 
390
INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
391
 
392
=========================================================================
393
HDL Synthesis Report
394
 
395
Macro Statistics
396
# RAMs                                                 : 1
397
 32768x3-bit dual-port RAM                             : 1
398
# ROMs                                                 : 1
399
 16x7-bit ROM                                          : 1
400
# Adders/Subtractors                                   : 32
401
 11-bit adder                                          : 1
402
 12-bit adder                                          : 24
403
 12-bit subtractor                                     : 4
404
 24-bit addsub                                         : 1
405
 9-bit adder                                           : 1
406
 9-bit subtractor                                      : 1
407
# Counters                                             : 8
408
 10-bit updown counter                                 : 2
409
 17-bit up counter                                     : 1
410
 19-bit up counter                                     : 1
411
 20-bit up counter                                     : 1
412
 21-bit up counter                                     : 1
413
 9-bit updown counter                                  : 2
414
# Registers                                            : 51
415
 1-bit register                                        : 43
416
 11-bit register                                       : 1
417
 12-bit register                                       : 3
418
 2-bit register                                        : 1
419
 24-bit register                                       : 1
420
 3-bit register                                        : 1
421
 9-bit register                                        : 1
422
# Comparators                                          : 6
423
 12-bit comparator equal                               : 2
424
 6-bit comparator equal                                : 2
425
 7-bit comparator equal                                : 2
426
# Multiplexers                                         : 1
427
 4-bit 4-to-1 multiplexer                              : 1
428
# Decoders                                             : 1
429
 1-of-4 decoder                                        : 1
430
 
431
=========================================================================
432
 
433
=========================================================================
434
*                       Advanced HDL Synthesis                          *
435
=========================================================================
436
 
437
Analyzing FSM  for best encoding.
438
Optimizing FSM  on signal  with one-hot encoding.
439
----------------------
440
 State | Encoding
441
----------------------
442
 0000  | 00000000001
443
 1010  | 00000000010
444
 0001  | 00000000100
445
 0010  | 00000001000
446
 0011  | 00000010000
447
 0100  | 00000100000
448
 0101  | 00001000000
449
 0110  | 00010000000
450
 0111  | 00100000000
451
 1000  | 01000000000
452
 1001  | 10000000000
453
----------------------
454
Analyzing FSM  for best encoding.
455
Optimizing FSM  on signal  with gray encoding.
456
-------------------
457
 State | Encoding
458
-------------------
459
 00    | 00
460
 01    | 01
461
 10    | 11
462
 11    | 10
463
-------------------
464
Analyzing FSM  for best encoding.
465
Optimizing FSM  on signal  with gray encoding.
466
-------------------
467
 State | Encoding
468
-------------------
469
 00    | 00
470
 01    | 01
471
 10    | 11
472
 11    | 10
473
-------------------
474
 
475
Synthesizing (advanced) Unit .
476
INFO:Xst:3226 - The RAM  will be implemented as a BLOCK RAM, absorbing the following register(s): 
477
    -----------------------------------------------------------------------
478
    | ram_type           | Block                               |          |
479
    -----------------------------------------------------------------------
480
    | Port A                                                              |
481
    |     aspect ratio   | 32768-word x 3-bit                  |          |
482
    |     mode           | read-first                          |          |
483
    |     clkA           | connected to signal            | rise     |
484
    |     weA            | connected to signal    | high     |
485
    |     addrA          | connected to signal            |          |
486
    |     diA            | connected to signal        |          |
487
    -----------------------------------------------------------------------
488
    | optimization       | speed                               |          |
489
    -----------------------------------------------------------------------
490
    | Port B                                                              |
491
    |     aspect ratio   | 32768-word x 3-bit                  |          |
492
    |     mode           | write-first                         |          |
493
    |     clkB           | connected to signal            | rise     |
494
    |     addrB          | connected to signal           |          |
495
    |     doB            | connected to signal           |          |
496
    -----------------------------------------------------------------------
497
    | optimization       | speed                               |          |
498
    -----------------------------------------------------------------------
499
Unit  synthesized (advanced).
500
 
501
=========================================================================
502
Advanced HDL Synthesis Report
503
 
504
Macro Statistics
505
# FSMs                                                 : 3
506
# RAMs                                                 : 1
507
 32768x3-bit dual-port block RAM                       : 1
508
# ROMs                                                 : 1
509
 16x7-bit ROM                                          : 1
510
# Adders/Subtractors                                   : 32
511
 11-bit adder                                          : 1
512
 12-bit adder                                          : 24
513
 12-bit subtractor                                     : 4
514
 24-bit addsub                                         : 1
515
 9-bit adder                                           : 1
516
 9-bit subtractor                                      : 1
517
# Counters                                             : 8
518
 10-bit updown counter                                 : 2
519
 17-bit up counter                                     : 1
520
 19-bit up counter                                     : 1
521
 20-bit up counter                                     : 1
522
 21-bit up counter                                     : 1
523
 9-bit updown counter                                  : 2
524
# Registers                                            : 125
525
 Flip-Flops                                            : 125
526
# Comparators                                          : 6
527
 12-bit comparator equal                               : 2
528
 6-bit comparator equal                                : 2
529
 7-bit comparator equal                                : 2
530
# Multiplexers                                         : 1
531
 4-bit 4-to-1 multiplexer                              : 1
532
# Decoders                                             : 1
533
 1-of-4 decoder                                        : 1
534
 
535
=========================================================================
536
 
537
=========================================================================
538
*                         Low Level Synthesis                           *
539
=========================================================================
540
INFO:Xst:2146 - In block , Counter   are equivalent, XST will keep only .
541
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
542
 
543
Optimizing unit  ...
544
 
545
Optimizing unit  ...
546
 
547
Optimizing unit  ...
548
 
549
Optimizing unit  ...
550
 
551
Optimizing unit  ...
552
 
553
Optimizing unit  ...
554
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
555
 
556
Mapping all equations...
557
Building and optimizing final netlist ...
558
Found area constraint ratio of 100 (+ 5) on block VGA_Top, actual ratio is 28.
559
 
560
Final Macro Processing ...
561
 
562
=========================================================================
563
Final Register Report
564
 
565
Macro Statistics
566
# Registers                                            : 237
567
 Flip-Flops                                            : 237
568
 
569
=========================================================================
570
 
571
=========================================================================
572
*                           Partition Report                            *
573
=========================================================================
574
 
575
Partition Implementation Status
576
-------------------------------
577
 
578
  No Partitions were found in this design.
579
 
580
-------------------------------
581
 
582
=========================================================================
583
*                            Final Report                               *
584
=========================================================================
585
Final Results
586
RTL Top Level Output File Name     : VGA_Top.ngr
587
Top Level Output File Name         : VGA_Top
588
Output Format                      : NGC
589
Optimization Goal                  : Speed
590
Keep Hierarchy                     : No
591
 
592
Design Statistics
593
# IOs                              : 29
594
 
595
Cell Usage :
596
# BELS                             : 1785
597
#      GND                         : 7
598
#      INV                         : 51
599
#      LUT1                        : 84
600
#      LUT2                        : 316
601
#      LUT2_D                      : 3
602
#      LUT2_L                      : 23
603
#      LUT3                        : 117
604
#      LUT3_D                      : 2
605
#      LUT3_L                      : 2
606
#      LUT4                        : 282
607
#      LUT4_D                      : 18
608
#      LUT4_L                      : 30
609
#      MUXCY                       : 435
610
#      MUXF5                       : 17
611
#      VCC                         : 1
612
#      XORCY                       : 397
613
# FlipFlops/Latches                : 237
614
#      FD                          : 34
615
#      FDE                         : 82
616
#      FDR                         : 24
617
#      FDRE                        : 28
618
#      FDS                         : 69
619
# RAMS                             : 6
620
#      RAMB16                      : 6
621
# Clock Buffers                    : 2
622
#      BUFG                        : 1
623
#      BUFGP                       : 1
624
# IO Buffers                       : 28
625
#      IBUF                        : 11
626
#      OBUF                        : 17
627
=========================================================================
628
 
629
Device utilization summary:
630
---------------------------
631
 
632
Selected Device : 3s200ft256-5
633
 
634
 Number of Slices:                      498  out of   1920    25%
635
 Number of Slice Flip Flops:            237  out of   3840     6%
636
 Number of 4 input LUTs:                928  out of   3840    24%
637
 Number of IOs:                          29
638
 Number of bonded IOBs:                  29  out of    173    16%
639
 Number of BRAMs:                         6  out of     12    50%
640
 Number of GCLKs:                         2  out of      8    25%
641
 
642
---------------------------
643
Partition Resource Summary:
644
---------------------------
645
 
646
  No Partitions were found in this design.
647
 
648
---------------------------
649
 
650
 
651
=========================================================================
652
TIMING REPORT
653
 
654
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
655
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
656
      GENERATED AFTER PLACE-and-ROUTE.
657
 
658
Clock Information:
659
------------------
660
-----------------------------------+------------------------+-------+
661
Clock Signal                       | Clock buffer(FF name)  | Load  |
662
-----------------------------------+------------------------+-------+
663
Clk                                | BUFGP                  | 205   |
664
Inst_FreqDiv/counter_191           | BUFG                   | 38    |
665
-----------------------------------+------------------------+-------+
666
 
667
Asynchronous Control Signals Information:
668
----------------------------------------
669
No asynchronous control signals found in this design
670
 
671
Timing Summary:
672
---------------
673
Speed Grade: -5
674
 
675
   Minimum period: 12.431ns (Maximum Frequency: 80.446MHz)
676
   Minimum input arrival time before clock: 7.231ns
677
   Maximum output required time after clock: 15.490ns
678
   Maximum combinational path delay: No path found
679
 
680
Timing Detail:
681
--------------
682
All values displayed in nanoseconds (ns)
683
 
684
=========================================================================
685
Timing constraint: Default period analysis for Clock 'Clk'
686
  Clock period: 12.431ns (frequency: 80.446MHz)
687
  Total number of paths / destination ports: 47319 / 517
688
-------------------------------------------------------------------------
689
Delay:               12.431ns (Levels of Logic = 18)
690
  Source:            Inst_Bresenhamer/dx_0 (FF)
691
  Destination:       Inst_Bresenhamer/p_8 (FF)
692
  Source Clock:      Clk rising
693
  Destination Clock: Clk rising
694
 
695
  Data Path: Inst_Bresenhamer/dx_0 to Inst_Bresenhamer/p_8
696
                                Gate     Net
697
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
698
    ----------------------------------------  ------------
699
     FDE:C->Q              7   0.626   1.201  Inst_Bresenhamer/dx_0 (Inst_Bresenhamer/dx_0)
700
     LUT1:I0->O            1   0.479   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<0>_rt (Inst_Bresenhamer/Madd_neg_dx_cy<0>_rt)
701
     MUXCY:S->O            1   0.435   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<0> (Inst_Bresenhamer/Madd_neg_dx_cy<0>)
702
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<1> (Inst_Bresenhamer/Madd_neg_dx_cy<1>)
703
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<2> (Inst_Bresenhamer/Madd_neg_dx_cy<2>)
704
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<3> (Inst_Bresenhamer/Madd_neg_dx_cy<3>)
705
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<4> (Inst_Bresenhamer/Madd_neg_dx_cy<4>)
706
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<5> (Inst_Bresenhamer/Madd_neg_dx_cy<5>)
707
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<6> (Inst_Bresenhamer/Madd_neg_dx_cy<6>)
708
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<7> (Inst_Bresenhamer/Madd_neg_dx_cy<7>)
709
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<8> (Inst_Bresenhamer/Madd_neg_dx_cy<8>)
710
     MUXCY:CI->O           1   0.056   0.000  Inst_Bresenhamer/Madd_neg_dx_cy<9> (Inst_Bresenhamer/Madd_neg_dx_cy<9>)
711
     XORCY:CI->O           7   0.786   1.201  Inst_Bresenhamer/Madd_neg_dx_xor<10> (Inst_Bresenhamer/neg_dx<10>)
712
     LUT2:I0->O            1   0.479   0.000  Inst_Bresenhamer/Madd_minus_dx_minus_dy_lut<10> (Inst_Bresenhamer/Madd_minus_dx_minus_dy_lut<10>)
713
     MUXCY:S->O            0   0.435   0.000  Inst_Bresenhamer/Madd_minus_dx_minus_dy_cy<10> (Inst_Bresenhamer/Madd_minus_dx_minus_dy_cy<10>)
714
     XORCY:CI->O           4   0.786   0.802  Inst_Bresenhamer/Madd_minus_dx_minus_dy_xor<11> (Inst_Bresenhamer/minus_dx_minus_dy<11>)
715
     LUT4_D:I3->O         10   0.479   0.987  Inst_Bresenhamer/p_mux0007<10>13 (Inst_Bresenhamer/N34)
716
     LUT4:I3->O            1   0.479   0.704  Inst_Bresenhamer/p_mux0007<8>32_SW0 (N145)
717
     LUT4:I3->O            1   0.479   0.681  Inst_Bresenhamer/p_mux0007<8>59 (Inst_Bresenhamer/p_mux0007<8>59)
718
     FDS:S                     0.892          Inst_Bresenhamer/p_8
719
    ----------------------------------------
720
    Total                     12.431ns (6.855ns logic, 5.576ns route)
721
                                       (55.1% logic, 44.9% route)
722
 
723
=========================================================================
724
Timing constraint: Default period analysis for Clock 'Inst_FreqDiv/counter_191'
725
  Clock period: 6.964ns (frequency: 143.593MHz)
726
  Total number of paths / destination ports: 1086 / 76
727
-------------------------------------------------------------------------
728
Delay:               6.964ns (Levels of Logic = 4)
729
  Source:            Inst_Pointer1/rX_5 (FF)
730
  Destination:       Inst_Pointer1/rX_9 (FF)
731
  Source Clock:      Inst_FreqDiv/counter_191 rising
732
  Destination Clock: Inst_FreqDiv/counter_191 rising
733
 
734
  Data Path: Inst_Pointer1/rX_5 to Inst_Pointer1/rX_9
735
                                Gate     Net
736
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
737
    ----------------------------------------  ------------
738
     FDE:C->Q              6   0.626   1.148  Inst_Pointer1/rX_5 (Inst_Pointer1/rX_5)
739
     LUT4:I0->O            1   0.479   0.976  Inst_Pointer1/rX_not000272 (Inst_Pointer1/rX_not000272)
740
     LUT3:I0->O            1   0.479   0.976  Inst_Pointer1/rX_not000291_SW0 (N224)
741
     LUT4:I0->O            1   0.479   0.000  Inst_Pointer1/rX_not0002117_G (N241)
742
     MUXF5:I1->O          10   0.314   0.964  Inst_Pointer1/rX_not0002117 (Inst_Pointer1/rX_not0002)
743
     FDE:CE                    0.524          Inst_Pointer1/rX_0
744
    ----------------------------------------
745
    Total                      6.964ns (2.901ns logic, 4.063ns route)
746
                                       (41.7% logic, 58.3% route)
747
 
748
=========================================================================
749
Timing constraint: Default OFFSET IN BEFORE for Clock 'Clk'
750
  Total number of paths / destination ports: 485 / 161
751
-------------------------------------------------------------------------
752
Offset:              7.231ns (Levels of Logic = 27)
753
  Source:            button (PAD)
754
  Destination:       Inst_Debouncer/Counter_23 (FF)
755
  Destination Clock: Clk rising
756
 
757
  Data Path: button to Inst_Debouncer/Counter_23
758
                                Gate     Net
759
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
760
    ----------------------------------------  ------------
761
     IBUF:I->O            50   0.715   1.663  button_IBUF (button_IBUF)
762
     INV:I->O              1   0.479   0.681  Inst_Debouncer/nCounter_mux00012_INV_0 (Inst_Debouncer/nCounter_mux0001)
763
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<0> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<0>)
764
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<1> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<1>)
765
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<2> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<2>)
766
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<3> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<3>)
767
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<4> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<4>)
768
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<5> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<5>)
769
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<6> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<6>)
770
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<7> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<7>)
771
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<8> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<8>)
772
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<9> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<9>)
773
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<10> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<10>)
774
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<11> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<11>)
775
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<12> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<12>)
776
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<13> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<13>)
777
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<14> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<14>)
778
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<15> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<15>)
779
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<16> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<16>)
780
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<17> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<17>)
781
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<18> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<18>)
782
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<19> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<19>)
783
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<20> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<20>)
784
     MUXCY:CI->O           1   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<21> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<21>)
785
     MUXCY:CI->O           0   0.056   0.000  Inst_Debouncer/Maddsub_nCounter_mux0000_cy<22> (Inst_Debouncer/Maddsub_nCounter_mux0000_cy<22>)
786
     XORCY:CI->O           1   0.786   0.976  Inst_Debouncer/Maddsub_nCounter_mux0000_xor<23> (Inst_Debouncer/nCounter_mux0000<23>)
787
     LUT3:I0->O            1   0.479   0.000  Inst_Debouncer/nCounter<23>11 (Inst_Debouncer/nCounter<23>1)
788
     FDS:D                     0.176          Inst_Debouncer/Counter_23
789
    ----------------------------------------
790
    Total                      7.231ns (3.912ns logic, 3.319ns route)
791
                                       (54.1% logic, 45.9% route)
792
 
793
=========================================================================
794
Timing constraint: Default OFFSET IN BEFORE for Clock 'Inst_FreqDiv/counter_191'
795
  Total number of paths / destination ports: 666 / 76
796
-------------------------------------------------------------------------
797
Offset:              5.177ns (Levels of Logic = 12)
798
  Source:            MoveLeft (PAD)
799
  Destination:       Inst_Pointer1/rX_9 (FF)
800
  Destination Clock: Inst_FreqDiv/counter_191 rising
801
 
802
  Data Path: MoveLeft to Inst_Pointer1/rX_9
803
                                Gate     Net
804
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
805
    ----------------------------------------  ------------
806
     IBUF:I->O            26   0.715   1.841  MoveLeft_IBUF (MoveLeft_IBUF)
807
     LUT2:I0->O            1   0.479   0.681  Inst_Pointer2/rX_not0003_inv2 (Inst_Pointer1/rX_not000227)
808
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<0> (Inst_Pointer2/Mcount_rX_cy<0>)
809
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<1> (Inst_Pointer2/Mcount_rX_cy<1>)
810
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<2> (Inst_Pointer2/Mcount_rX_cy<2>)
811
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<3> (Inst_Pointer2/Mcount_rX_cy<3>)
812
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<4> (Inst_Pointer2/Mcount_rX_cy<4>)
813
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<5> (Inst_Pointer2/Mcount_rX_cy<5>)
814
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<6> (Inst_Pointer2/Mcount_rX_cy<6>)
815
     MUXCY:CI->O           1   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<7> (Inst_Pointer2/Mcount_rX_cy<7>)
816
     MUXCY:CI->O           0   0.056   0.000  Inst_Pointer2/Mcount_rX_cy<8> (Inst_Pointer2/Mcount_rX_cy<8>)
817
     XORCY:CI->O           1   0.786   0.000  Inst_Pointer2/Mcount_rX_xor<9> (Inst_Pointer2/Result<9>)
818
     FDE:D                     0.176          Inst_Pointer2/rX_9
819
    ----------------------------------------
820
    Total                      5.177ns (2.656ns logic, 2.522ns route)
821
                                       (51.3% logic, 48.7% route)
822
 
823
=========================================================================
824
Timing constraint: Default OFFSET OUT AFTER for Clock 'Clk'
825
  Total number of paths / destination ports: 654 / 17
826
-------------------------------------------------------------------------
827
Offset:              15.490ns (Levels of Logic = 8)
828
  Source:            ins_Synchronizer/AddressOfY_1 (FF)
829
  Destination:       B (PAD)
830
  Source Clock:      Clk rising
831
 
832
  Data Path: ins_Synchronizer/AddressOfY_1 to B
833
                                Gate     Net
834
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
835
    ----------------------------------------  ------------
836
     FDRE:C->Q             8   0.626   1.216  ins_Synchronizer/AddressOfY_1 (ins_Synchronizer/AddressOfY_1)
837
     LUT4:I0->O            5   0.479   1.078  ins_Synchronizer/Madd_nAddressOfY_xor<5>1_SW0 (N111)
838
     LUT4:I0->O            8   0.479   1.091  ins_Synchronizer/Msub_AddressY_xor<7>11 (Ady<7>)
839
     LUT4:I1->O            1   0.479   0.704  Inst_Pointer2/Here_and0000231_SW0 (N184)
840
     LUT4:I3->O            1   0.479   0.976  Inst_Pointer2/Here_and0000231 (Inst_Pointer2/Here_and0000231)
841
     LUT4:I0->O            6   0.479   1.023  Inst_Pointer2/Here_and0000244 (p2Region)
842
     LUT4:I1->O            1   0.479   0.000  R11 (R1)
843
     MUXF5:I1->O           1   0.314   0.681  R1_f5 (R_OBUF)
844
     OBUF:I->O                 4.909          R_OBUF (R)
845
    ----------------------------------------
846
    Total                     15.490ns (8.723ns logic, 6.767ns route)
847
                                       (56.3% logic, 43.7% route)
848
 
849
=========================================================================
850
Timing constraint: Default OFFSET OUT AFTER for Clock 'Inst_FreqDiv/counter_191'
851
  Total number of paths / destination ports: 156 / 3
852
-------------------------------------------------------------------------
853
Offset:              13.773ns (Levels of Logic = 7)
854
  Source:            Inst_Pointer1/rX_5 (FF)
855
  Destination:       B (PAD)
856
  Source Clock:      Inst_FreqDiv/counter_191 rising
857
 
858
  Data Path: Inst_Pointer1/rX_5 to B
859
                                Gate     Net
860
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
861
    ----------------------------------------  ------------
862
     FDE:C->Q              6   0.626   1.023  Inst_Pointer1/rX_5 (Inst_Pointer1/rX_5)
863
     LUT2:I1->O            1   0.479   0.976  Inst_Pointer1/Here_and000085 (Inst_Pointer1/Here_and000085)
864
     LUT4:I0->O            1   0.479   0.851  Inst_Pointer1/Here_and0000196 (Inst_Pointer1/Here_and0000196)
865
     LUT4:I1->O            1   0.479   0.976  Inst_Pointer1/Here_and0000231 (Inst_Pointer1/Here_and0000231)
866
     LUT4:I0->O            6   0.479   1.023  Inst_Pointer1/Here_and0000244 (P1Region)
867
     LUT4:I1->O            1   0.479   0.000  G11 (G1)
868
     MUXF5:I1->O           1   0.314   0.681  G1_f5 (G_OBUF)
869
     OBUF:I->O                 4.909          G_OBUF (G)
870
    ----------------------------------------
871
    Total                     13.773ns (8.244ns logic, 5.529ns route)
872
                                       (59.9% logic, 40.1% route)
873
 
874
=========================================================================
875
 
876
 
877
Total REAL time to Xst completion: 28.00 secs
878
Total CPU time to Xst completion: 25.69 secs
879
 
880
-->
881
 
882
 
883
Total memory usage is 184200 kilobytes
884
 
885
Number of errors   :    0 (   0 filtered)
886
Number of warnings :   18 (   0 filtered)
887
Number of infos    :    9 (   0 filtered)
888
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.