OpenCores
URL https://opencores.org/ocsvn/linkruncca/linkruncca/trunk

Subversion Repositories linkruncca

[/] [linkruncca/] [trunk/] [src/] [feature_accumulator.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jaytang
module feature_accumulator(
2
        clk,rst,datavalid,DAC,DMG,CLR,dp,d
3
);
4
 
5
parameter imwidth=512;
6
parameter imheight=512;
7
parameter x_bit=9;
8
parameter y_bit=9;
9
parameter address_bit=8;
10
parameter data_bit=38;
11
parameter latency=3; //latency to offset counter x, 3 if holes filling, else 1
12
parameter rstx=imwidth-latency;
13
parameter rsty=imheight-1;
14
parameter compx=imwidth-1;
15
input clk,rst,datavalid,DAC,DMG,CLR;
16
input [data_bit-1:0]dp;
17
output reg[data_bit-1:0]d;
18
 
19
////coordinate counter
20
reg [x_bit-1:0]x;
21
reg [y_bit-1:0]y;
22
always@(posedge clk or posedge rst)
23
        if(rst)begin
24
                x<=rstx[x_bit-1:0];y<=rsty[y_bit-1:0];
25
        end
26
        else if(datavalid)begin
27
                if(x==compx[x_bit-1:0])begin
28
                        x<=0;
29
                        if(y==rsty[y_bit-1:0])
30
                                y<=0;
31
                        else y<=y+1;
32
                end
33
                else x<=x+1;
34
        end
35
 
36
/////register d
37
wire [x_bit-1:0]minx,maxx,minx1,maxx1;
38
wire [y_bit-1:0]miny,maxy,miny1,maxy1;
39
//data accumulate
40
assign minx1=(DAC&(x<d[data_bit-1:data_bit-x_bit]))?x:d[data_bit-1:data_bit-x_bit];
41
assign maxx1=(DAC&(x>d[data_bit-x_bit-1:2*y_bit]))?x:d[data_bit-x_bit-1:2*y_bit];
42
assign miny1=(DAC&(y<d[2*y_bit-1:y_bit]))?y:d[2*y_bit-1:y_bit];
43
assign maxy1=(DAC&(y>d[y_bit-1:0]))?y:d[y_bit-1:0];
44
//data merge
45
assign minx=(DMG&(dp[data_bit-1:data_bit-x_bit]<minx1))?dp[data_bit-1:data_bit-x_bit]:minx1;
46
assign maxx=(DMG&(dp[data_bit-x_bit-1:2*y_bit]>maxx1))?dp[data_bit-x_bit-1:2*y_bit]:maxx1;
47
assign miny=(DMG&(dp[2*y_bit-1:y_bit]<miny1))?dp[2*y_bit-1:y_bit]:miny1;
48
assign maxy=(DMG&(dp[y_bit-1:0]>maxy1))?dp[y_bit-1:0]:maxy1;
49
 
50
always@(posedge clk or posedge rst)
51
        if(rst)
52
                d<={{x_bit{1'b1}},{x_bit{1'b0}},{y_bit{1'b1}},{y_bit{1'b0}}};
53
        else if(datavalid)
54
                if(CLR)
55
                        d<={{x_bit{1'b1}},{x_bit{1'b0}},{y_bit{1'b1}},{y_bit{1'b0}}}; //CLR
56
                else d<={minx,maxx,miny,maxy};
57
 
58
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.