1 |
2 |
dimamali |
current_design leon3mp
|
2 |
|
|
set_operating_conditions -library ut025sf25v af_max
|
3 |
|
|
|
4 |
|
|
set sys_clk_freq 80.0
|
5 |
|
|
set pci_clk_freq 33.3
|
6 |
|
|
set eth_clk_freq 25.0
|
7 |
|
|
set spw_clk_freq 200.0
|
8 |
|
|
set clock_skew 0.10
|
9 |
|
|
set input_setup 2.0
|
10 |
|
|
set output_delay 6.0
|
11 |
|
|
|
12 |
|
|
set sys_peri [expr 1000.0 / $sys_clk_freq]
|
13 |
|
|
set spw_peri [expr 1000.0 / $spw_clk_freq]
|
14 |
|
|
set pci_peri [expr 1000.0 / $pci_clk_freq]
|
15 |
|
|
set eth_peri [expr 1000.0 / $eth_clk_freq]
|
16 |
|
|
set input_delay [expr $sys_peri - $input_setup]
|
17 |
|
|
set tdelay [expr $output_delay + 1]
|
18 |
|
|
|
19 |
|
|
create_clock -name "clk" -period $sys_peri {"core0/clk" }
|
20 |
|
|
set_dont_touch_network clk
|
21 |
|
|
create_clock -name "spw_txclk" -period $spw_peri { "core0/spw_clk"}
|
22 |
|
|
set_dont_touch_network spw_txclk
|
23 |
|
|
create_clock -name "pci_clk" -period $pci_peri { "core0/pciclk"}
|
24 |
|
|
set_dont_touch_network pci_clk
|
25 |
|
|
create_clock -name "etx_clk" -period $eth_peri { "core0/etx_clk" }
|
26 |
|
|
set_dont_touch_network etx_clk
|
27 |
|
|
create_clock -name "erx_clk" -period $eth_peri { "core0/erx_clk" }
|
28 |
|
|
set_dont_touch_network erx_clk
|
29 |
|
|
|
30 |
|
|
create_clock -name "spw_rxclk0" -period $spw_peri { "core0/leon3core0/grspw0_0/grspwc0/rxclko[0]" }
|
31 |
|
|
set_dont_touch_network spw_rxclk0
|
32 |
|
|
create_clock -name "spw_rxclk1" -period $spw_peri { "core0/leon3core0/grspw0_1/grspwc0/rxclko[0]" }
|
33 |
|
|
set_dont_touch_network spw_rxclk1
|
34 |
|
|
create_clock -name "spw_rxclk2" -period $spw_peri { "core0/leon3core0/grspw0_2/grspwc0/rxclko[0]" }
|
35 |
|
|
set_dont_touch_network spw_rxclk2
|
36 |
|
|
create_clock -name "spw_rxclk3" -period $spw_peri { "core0/leon3core0/grspw0_3/grspwc0/rxclko[0]" }
|
37 |
|
|
set_dont_touch_network spw_rxclk3
|
38 |
|
|
|
39 |
|
|
set_false_path -from resetn
|
40 |
|
|
set_false_path -from test
|
41 |
|
|
set_false_path -from resetn
|
42 |
|
|
set_false_path -from test
|
43 |
|
|
set_false_path -from rxd1
|
44 |
|
|
set_false_path -from dsubre
|
45 |
|
|
set_false_path -from dsuen
|
46 |
|
|
|
47 |
|
|
set_false_path -from clk -to spw_txclk
|
48 |
|
|
set_false_path -to clk -from spw_txclk
|
49 |
|
|
set_false_path -from clk -to spw_rxclk0
|
50 |
|
|
set_false_path -to clk -from spw_rxclk0
|
51 |
|
|
set_false_path -from clk -to spw_rxclk1
|
52 |
|
|
set_false_path -to clk -from spw_rxclk1
|
53 |
|
|
set_false_path -from clk -to spw_rxclk2
|
54 |
|
|
set_false_path -to clk -from spw_rxclk2
|
55 |
|
|
set_false_path -from clk -to spw_rxclk3
|
56 |
|
|
set_false_path -to clk -from spw_rxclk3
|
57 |
|
|
set_false_path -from spw_txclk -to spw_rxclk0
|
58 |
|
|
set_false_path -to spw_txclk -from spw_rxclk0
|
59 |
|
|
set_false_path -from spw_txclk -to spw_rxclk1
|
60 |
|
|
set_false_path -to spw_txclk -from spw_rxclk1
|
61 |
|
|
set_false_path -from spw_txclk -to spw_rxclk2
|
62 |
|
|
set_false_path -to spw_txclk -from spw_rxclk2
|
63 |
|
|
set_false_path -from spw_txclk -to spw_rxclk3
|
64 |
|
|
set_false_path -to spw_txclk -from spw_rxclk3
|
65 |
|
|
set_false_path -to clk -from etx_clk
|
66 |
|
|
set_false_path -to etx_clk -from clk
|
67 |
|
|
set_false_path -to clk -from erx_clk
|
68 |
|
|
set_false_path -to erx_clk -from clk
|
69 |
|
|
set_false_path -to pci_clk -from clk
|
70 |
|
|
set_false_path -to clk -from pci_clk
|
71 |
|
|
|
72 |
|
|
set_input_delay $input_delay -clock clk { \
|
73 |
|
|
gpio\[*\] data\[*\] brdyn bexcn rxd1 cb\[*\] }
|
74 |
|
|
|
75 |
|
|
set_max_delay $output_delay -to { errorn wdogn \
|
76 |
|
|
gpio\[*\] data\[*\] txd1 cb\[*\] }
|
77 |
|
|
|
78 |
|
|
set_max_delay $output_delay -to { \
|
79 |
|
|
wdogn writen romsn\[*\] read oen iosn rwen\[*\] ramsn\[*\] \
|
80 |
|
|
ramoen\[*\] sdcsn\[*\] sdwen sdrasn sdcasn \
|
81 |
|
|
sddqm\[*\] address\[*\] \
|
82 |
|
|
}
|
83 |
|
|
|
84 |
|
|
set_false_path -to dsuact
|
85 |
|
|
set_ideal_network test
|