OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-clock-gate/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
library techmap;
27
use techmap.gencomp.all;
28
library gaisler;
29
use gaisler.memctrl.all;
30
use gaisler.leon3.all;
31
use gaisler.uart.all;
32
use gaisler.misc.all;
33
use gaisler.can.all;
34
use gaisler.pci.all;
35
use gaisler.net.all;
36
use gaisler.jtag.all;
37
use gaisler.spacewire.all;
38
library esa;
39
use esa.memoryctrl.all;
40
use esa.pcicomp.all;
41
use work.config.all;
42
 
43
entity leon3mp is
44
  generic (
45
    fabtech   : integer := CFG_FABTECH;
46
    memtech   : integer := CFG_MEMTECH;
47
    padtech   : integer := CFG_PADTECH;
48
    clktech   : integer := CFG_CLKTECH;
49
    ncpu      : integer := CFG_NCPU;
50
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
51
    dbguart   : integer := CFG_DUART;   -- Print UART on console
52
    pclow     : integer := CFG_PCLOW
53
  );
54
  port (
55
    resetn      : in  std_logic;
56
    clk         : in  std_logic;
57
    pllref      : in  std_logic;
58
    errorn      : out std_logic;
59
    address     : out std_logic_vector(27 downto 0);
60
    data        : inout std_logic_vector(31 downto 0);
61
    sa          : out std_logic_vector(14 downto 0);
62
    sd          : inout std_logic_vector(63 downto 0);
63
    sdclk       : out std_logic;
64
    sdcke       : out std_logic_vector (1 downto 0);    -- sdram clock enable
65
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
66
    sdwen       : out std_logic;                       -- sdram write enable
67
    sdrasn      : out std_logic;                       -- sdram ras
68
    sdcasn      : out std_logic;                       -- sdram cas
69
    sddqm       : out std_logic_vector (7 downto 0);    -- sdram dqm
70
    dsutx       : out std_logic;                        -- DSU tx data
71
    dsurx       : in  std_logic;                        -- DSU rx data
72
    dsuen       : in std_logic;
73
    dsubre      : in std_logic;
74
    dsuact      : out std_logic;
75
    txd1        : out std_logic;                        -- UART1 tx data
76
    rxd1        : in  std_logic;                        -- UART1 rx data
77
    txd2        : out std_logic;                        -- UART2 tx data
78
    rxd2        : in  std_logic;                        -- UART2 rx data
79
    ramsn       : out std_logic_vector (4 downto 0);
80
    ramoen      : out std_logic_vector (4 downto 0);
81
    rwen        : out std_logic_vector (3 downto 0);
82
    oen         : out std_logic;
83
    writen      : out std_logic;
84
    read        : out std_logic;
85
    iosn        : out std_logic;
86
    romsn       : out std_logic_vector (1 downto 0);
87
    gpio        : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);   -- I/O port
88
 
89
    emdio       : inout std_logic;              -- ethernet PHY interface
90
    etx_clk     : in std_logic;
91
    erx_clk     : in std_logic;
92
    erxd        : in std_logic_vector(3 downto 0);
93
    erx_dv      : in std_logic;
94
    erx_er      : in std_logic;
95
    erx_col     : in std_logic;
96
    erx_crs     : in std_logic;
97
    etxd        : out std_logic_vector(3 downto 0);
98
    etx_en      : out std_logic;
99
    etx_er      : out std_logic;
100
    emdc        : out std_logic;
101
 
102
    emddis      : out std_logic;
103
    epwrdwn     : out std_logic;
104
    ereset      : out std_logic;
105
    esleep      : out std_logic;
106
    epause      : out std_logic;
107
 
108
    pci_rst     : inout std_logic;              -- PCI bus
109
    pci_clk     : in std_logic;
110
    pci_gnt     : in std_logic;
111
    pci_idsel   : in std_logic;
112
    pci_lock    : inout std_logic;
113
    pci_ad      : inout std_logic_vector(31 downto 0);
114
    pci_cbe     : inout std_logic_vector(3 downto 0);
115
    pci_frame   : inout std_logic;
116
    pci_irdy    : inout std_logic;
117
    pci_trdy    : inout std_logic;
118
    pci_devsel  : inout std_logic;
119
    pci_stop    : inout std_logic;
120
    pci_perr    : inout std_logic;
121
    pci_par     : inout std_logic;
122
    pci_req     : inout std_logic;
123
    pci_serr    : inout std_logic;
124
    pci_host    : in std_logic;
125
    pci_66      : in std_logic;
126
    pci_arb_req : in  std_logic_vector(0 to 3);
127
    pci_arb_gnt : out std_logic_vector(0 to 3);
128
 
129
    can_txd     : out std_logic;
130
    can_rxd     : in  std_logic;
131
    can_stb     : out std_logic;
132
 
133
    spw_clk     : in  std_logic;
134
    spw_rxd     : in  std_logic_vector(0 to 2);
135
    spw_rxdn    : in  std_logic_vector(0 to 2);
136
    spw_rxs     : in  std_logic_vector(0 to 2);
137
    spw_rxsn    : in  std_logic_vector(0 to 2);
138
    spw_txd     : out std_logic_vector(0 to 2);
139
    spw_txdn    : out std_logic_vector(0 to 2);
140
    spw_txs     : out std_logic_vector(0 to 2);
141
    spw_txsn    : out std_logic_vector(0 to 2);
142
    tck, tms, tdi : in std_logic;
143
    tdo         : out std_logic
144
        );
145
end;
146
 
147
architecture rtl of leon3mp is
148
 
149
constant blength : integer := 12;
150
 
151
constant maxahbmsp : integer := NCPU+CFG_AHB_UART+
152
        CFG_GRETH+CFG_AHB_JTAG+log2x(CFG_PCI);
153
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
154
 
155
signal vcc, gnd : std_logic_vector(4 downto 0);
156
signal memi  : memory_in_type;
157
signal memo  : memory_out_type;
158
signal wpo   : wprot_out_type;
159
signal sdi   : sdctrl_in_type;
160
signal sdo   : sdram_out_type;
161
signal sdo2, sdo3 : sdctrl_out_type;
162
 
163
signal apbi  : apb_slv_in_type;
164
signal apbo  : apb_slv_out_vector := (others => apb_none);
165
signal ahbsi : ahb_slv_in_type;
166
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
167
signal ahbmi : ahb_mst_in_type;
168
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
169
 
170
signal clkx, clkm, rstn, rstraw, pciclk, sdclkl, spw_lclk : std_logic;
171
signal cgi   : clkgen_in_type;
172
signal cgo   : clkgen_out_type;
173
signal u1i, u2i, dui : uart_in_type;
174
signal u1o, u2o, duo : uart_out_type;
175
 
176
signal irqi : irq_in_vector(0 to NCPU-1);
177
signal irqo : irq_out_vector(0 to NCPU-1);
178
 
179
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
180
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
181
signal gclk : std_logic_vector(NCPU-1 downto 0);
182
 
183
signal dsui : dsu_in_type;
184
signal dsuo : dsu_out_type;
185
 
186
signal pcii : pci_in_type;
187
signal pcio : pci_out_type;
188
 
189
signal ethi, ethi1, ethi2 : eth_in_type;
190
signal etho, etho1, etho2 : eth_out_type;
191
 
192
signal gpti : gptimer_in_type;
193
 
194
signal gpioi : gpio_in_type;
195
signal gpioo : gpio_out_type;
196
 
197
signal can_lrx, can_ltx   : std_logic;
198
signal lclk, pci_lclk : std_logic;
199
signal pci_arb_req_n, pci_arb_gnt_n   : std_logic_vector(0 to 3);
200
 
201
signal spwi : grspw_in_type_vector(0 to 2);
202
signal spwo : grspw_out_type_vector(0 to 2);
203
 
204
constant BOARD_FREQ : integer := 40000; -- Board frequency in KHz
205
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;
206
constant IOAEN : integer := CFG_SDCTRL + CFG_CAN;
207
constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ;
208
 
209
constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*40000;
210
begin
211
 
212
----------------------------------------------------------------------
213
---  Reset and Clock generation  -------------------------------------
214
----------------------------------------------------------------------
215
 
216
  vcc <= (others => '1'); gnd <= (others => '0');
217
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
218
 
219
  pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
220
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
221
  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
222
            port map (pci_clk, pci_lclk);
223
  clkgen0 : clkgen              -- clock generator
224
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
225
        CFG_CLK_NOFB, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK)
226
    port map (lclk, pci_lclk, clkx, open, open, sdclkl, pciclk, cgi, cgo);
227
 
228
  clkpwd : entity work.clkgate generic map (fabtech, NCPU)
229
        port map (rstn, clkx, dsuo.pwd(NCPU-1 downto 0), clkm, gclk);
230
  sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
231
        port map (sdclk, sdclkl);
232
 
233
  rst0 : rstgen                 -- reset generator
234
  port map (resetn, clkm, cgo.clklock, rstn, rstraw);
235
 
236
----------------------------------------------------------------------
237
---  AHB CONTROLLER --------------------------------------------------
238
----------------------------------------------------------------------
239
 
240
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
241
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
242
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
243
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
244
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
245
 
246
----------------------------------------------------------------------
247
---  LEON3 processor and DSU -----------------------------------------
248
----------------------------------------------------------------------
249
 
250
  l3 : if CFG_LEON3 = 1 generate
251
    cpu : for i in 0 to NCPU-1 generate
252
      u0 : leon3cg                      -- LEON3 processor      
253
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
254
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
255
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
256
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
257
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
258
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED)
259
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
260
                irqi(i), irqo(i), dbgi(i), dbgo(i), gclk(i));
261
      nodsu : if CFG_DSU = 0 generate
262
        dsuo.pwd(i) <= dbgo(i).pwd and not dbgo(i).ipend;
263
      end generate;
264
    end generate;
265
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
266
 
267
    dsugen : if CFG_DSU = 1 generate
268
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
269
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
270
         ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
271
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
272
      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
273
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
274
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
275
    end generate;
276
  end generate;
277
 
278
  nodsu : if CFG_DSU = 0 generate
279
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
280
  end generate;
281
 
282
  dcomgen : if CFG_AHB_UART = 1 generate
283
    dcom0: ahbuart              -- Debug UART
284
    generic map (hindex => NCPU, pindex => 7, paddr => 7)
285
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
286
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
287
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
288
  end generate;
289
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
290
 
291
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
292
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
293
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
294
               open, open, open, open, open, open, open, gnd(0));
295
  end generate;
296
 
297
----------------------------------------------------------------------
298
---  Memory controllers ----------------------------------------------
299
----------------------------------------------------------------------
300
 
301
  src : if CFG_SRCTRL = 1 generate      -- 32-bit PROM/SRAM controller
302
    sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
303
        romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
304
        prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
305
    port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
306
    apbo(0) <= apb_none;
307
  end generate;
308
 
309
  sdc : if CFG_SDCTRL = 1 generate
310
      sdc : sdctrl generic map (hindex => 3, haddr => 16#600#, hmask => 16#F00#,
311
        ioaddr => 1, fast => 0, pwron => 0, invclk => CFG_SDCTRL_INVCLK,
312
        sdbits => 32 + 32*CFG_SDCTRL_SD64)
313
      port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2);
314
      sa_pad : outpadv generic map (width => 15, tech => padtech)
315
           port map (sa, sdo2.address);
316
      sd_pad : iopadv generic map (width => 32, tech => padtech)
317
           port map (sd(31 downto 0), sdo2.data, sdo2.bdrive, sdi.data(31 downto 0));
318
      sd2 : if CFG_SDCTRL_SD64 = 1 generate
319
        sd_pad2 : iopadv generic map (width => 32)
320
             port map (sd(63 downto 32), sdo2.data, sdo2.bdrive, sdi.data(63 downto 32));
321
      end generate;
322
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
323
           port map (sdcke, sdo2.sdcke);
324
      sdwen_pad : outpad generic map (tech => padtech)
325
           port map (sdwen, sdo2.sdwen);
326
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
327
           port map (sdcsn, sdo2.sdcsn);
328
      sdras_pad : outpad generic map (tech => padtech)
329
           port map (sdrasn, sdo2.rasn);
330
      sdcas_pad : outpad generic map (tech => padtech)
331
           port map (sdcasn, sdo2.casn);
332
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
333
           port map (sddqm, sdo2.dqm);
334
  end generate;
335
 
336
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
337
    sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
338
        srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
339
        ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
340
        invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
341
        sdbits => 32 + 32*CFG_MCTRL_SD64)
342
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
343
    sdpads : if CFG_MCTRL_SDEN = 1 generate     -- SDRAM controller
344
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
345
        sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa);
346
        bdr : for i in 0 to 3 generate
347
          sd_pad : iopadv generic map (tech => padtech, width => 8)
348
          port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
349
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
350
          sd2 : if CFG_MCTRL_SD64 = 1 generate
351
            sd_pad2 : iopadv generic map (tech => padtech, width => 8)
352
            port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
353
                memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
354
          end generate;
355
        end generate;
356
      end generate;
357
      sdwen_pad : outpad generic map (tech => padtech)
358
           port map (sdwen, sdo.sdwen);
359
      sdras_pad : outpad generic map (tech => padtech)
360
           port map (sdrasn, sdo.rasn);
361
      sdcas_pad : outpad generic map (tech => padtech)
362
           port map (sdcasn, sdo.casn);
363
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
364
           port map (sddqm, sdo.dqm);
365
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
366
           port map (sdcke, sdo.sdcke);
367
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
368
           port map (sdcsn, sdo.sdcsn);
369
    end generate;
370
  end generate;
371
 
372
  nosd0 : if (CFG_MCTRL_SDEN = 0) and (CFG_SDCTRL = 0) generate           -- no SDRAM controller
373
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
374
           port map (sdcke, sdo3.sdcke);
375
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
376
           port map (sdcsn, sdo3.sdcsn);
377
  end generate;
378
 
379
 
380
  memi.brdyn <= '1'; memi.bexcn <= '1';
381
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
382
 
383
  mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate        -- prom/sram pads
384
    addr_pad : outpadv generic map (width => 28, tech => padtech)
385
        port map (address, memo.address(27 downto 0));
386
    rams_pad : outpadv generic map (width => 5, tech => padtech)
387
        port map (ramsn, memo.ramsn(4 downto 0));
388
    roms_pad : outpadv generic map (width => 2, tech => padtech)
389
        port map (romsn, memo.romsn(1 downto 0));
390
    oen_pad  : outpad generic map (tech => padtech)
391
        port map (oen, memo.oen);
392
    rwen_pad : outpadv generic map (width => 4, tech => padtech)
393
        port map (rwen, memo.wrn);
394
    roen_pad : outpadv generic map (width => 5, tech => padtech)
395
        port map (ramoen, memo.ramoen(4 downto 0));
396
    wri_pad  : outpad generic map (tech => padtech)
397
        port map (writen, memo.writen);
398
    read_pad : outpad generic map (tech => padtech)
399
        port map (read, memo.read);
400
    iosn_pad : outpad generic map (tech => padtech)
401
        port map (iosn, memo.iosn);
402
    bdr : for i in 0 to 3 generate
403
      data_pad : iopadv generic map (tech => padtech, width => 8)
404
      port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
405
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
406
    end generate;
407
  end generate;
408
 
409
----------------------------------------------------------------------
410
---  APB Bridge and various periherals -------------------------------
411
----------------------------------------------------------------------
412
 
413
  bpromgen : if CFG_AHBROMEN /= 0 generate
414
    brom : entity work.ahbrom
415
      generic map (hindex => 5, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
416
      port map ( rstn, clkm, ahbsi, ahbso(5));
417
  end generate;
418
  nobpromgen : if CFG_AHBROMEN = 0 generate
419
     ahbso(5) <= ahbs_none;
420
  end generate;
421
 
422
----------------------------------------------------------------------
423
---  APB Bridge and various periherals -------------------------------
424
----------------------------------------------------------------------
425
 
426
  apb0 : apbctrl                                -- AHB/APB bridge
427
  generic map (hindex => 1, haddr => CFG_APBADDR)
428
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
429
 
430
  ua1 : if CFG_UART1_ENABLE /= 0 generate
431
    uart1 : apbuart                     -- UART 1
432
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
433
        fifosize => CFG_UART1_FIFO)
434
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
435
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
436
  end generate;
437
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
438
 
439
  ua2 : if CFG_UART2_ENABLE /= 0 generate
440
    uart2 : apbuart                     -- UART 2
441
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
442
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
443
    u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
444
  end generate;
445
  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
446
 
447
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
448
    irqctrl0 : irqmp                    -- interrupt controller
449
    generic map (pindex => 2, paddr => 2, ncpu => NCPU)
450
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
451
  end generate;
452
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
453
    x : for i in 0 to NCPU-1 generate
454
      irqi(i).irl <= "0000";
455
    end generate;
456
    apbo(2) <= apb_none;
457
  end generate;
458
 
459
  gpt : if CFG_GPT_ENABLE /= 0 generate
460
    timer0 : gptimer                    -- timer unit
461
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
462
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
463
        nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOG)
464
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
465
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
466
  end generate;
467
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
468
 
469
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
470
    grgpio0: grgpio
471
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
472
        nbits => CFG_GRGPIO_WIDTH)
473
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
474
 
475
      pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
476
        pio_pad : iopad generic map (tech => padtech)
477
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
478
      end generate;
479
   end generate;
480
 
481
-----------------------------------------------------------------------
482
---  PCI   ------------------------------------------------------------
483
-----------------------------------------------------------------------
484
 
485
  pp : if CFG_PCI /= 0 generate
486
 
487
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
488
      pci0 : pci_target generic map (hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
489
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
490
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
491
    end generate;
492
 
493
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
494
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
495
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
496
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
497
          ioaddr => 16#400#, nsync => 2, hostrst => 1)
498
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
499
        ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
500
    end generate;
501
 
502
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
503
      dma : pcidma generic map (memtech => memtech, dmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
504
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
505
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
506
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
507
          nsync => 2, hostrst => 1)
508
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
509
          apbi, apbo(4), ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
510
    end generate;
511
 
512
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
513
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
514
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
515
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
516
    end generate;
517
 
518
    pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
519
      pciarb0 : pciarb generic map (pindex => 10, paddr => 10,
520
                                    apb_en => CFG_PCI_ARBAPB)
521
       port map ( clk => pciclk, rst_n => pcii.rst,
522
         req_n => pci_arb_req_n, frame_n => pcii.frame,
523
         gnt_n => pci_arb_gnt_n, pclk => clkm,
524
         prst_n => rstn, apbi => apbi, apbo => apbo(10)
525
       );
526
      pgnt_pad : outpadv generic map (tech => padtech, width => 4)
527
        port map (pci_arb_gnt, pci_arb_gnt_n);
528
      preq_pad : inpadv generic map (tech => padtech, width => 4)
529
        port map (pci_arb_req, pci_arb_req_n);
530
    end generate;
531
 
532
    pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads
533
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
534
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
535
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
536
 
537
  end generate;
538
 
539
  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
540
  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
541
  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
542
  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
543
  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
544
 
545
 
546
-----------------------------------------------------------------------
547
---  ETHERNET ---------------------------------------------------------
548
-----------------------------------------------------------------------
549
 
550
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
551
      e1 : greth generic map(hindex => NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG,
552
        pindex => 15, paddr => 15, pirq => 14, memtech => memtech,
553
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
554
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
555
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
556
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
557
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
558
       ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG), apbi => apbi,
559
       apbo => apbo(15), ethi => ethi, etho => etho);
560
 
561
      emdio_pad : iopad generic map (tech => padtech)
562
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
563
      etxc_pad : clkpad generic map (tech => padtech, arch => 1)
564
        port map (etx_clk, ethi.tx_clk);
565
      erxc_pad : clkpad generic map (tech => padtech, arch => 1)
566
        port map (erx_clk, ethi.rx_clk);
567
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
568
        port map (erxd, ethi.rxd(3 downto 0));
569
      erxdv_pad : inpad generic map (tech => padtech)
570
        port map (erx_dv, ethi.rx_dv);
571
      erxer_pad : inpad generic map (tech => padtech)
572
        port map (erx_er, ethi.rx_er);
573
      erxco_pad : inpad generic map (tech => padtech)
574
        port map (erx_col, ethi.rx_col);
575
      erxcr_pad : inpad generic map (tech => padtech)
576
        port map (erx_crs, ethi.rx_crs);
577
 
578
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
579
        port map (etxd, etho.txd(3 downto 0));
580
      etxen_pad : outpad generic map (tech => padtech)
581
        port map ( etx_en, etho.tx_en);
582
      etxer_pad : outpad generic map (tech => padtech)
583
        port map (etx_er, etho.tx_er);
584
      emdc_pad : outpad generic map (tech => padtech)
585
        port map (emdc, etho.mdc);
586
 
587
      emdis_pad : outpad generic map (tech => padtech)
588
        port map (emddis, vcc(0));
589
      eepwrdwn_pad : outpad generic map (tech => padtech)
590
        port map (epwrdwn, gnd(0));
591
      esleep_pad : outpad generic map (tech => padtech)
592
        port map (esleep, gnd(0));
593
      epause_pad : outpad generic map (tech => padtech)
594
        port map (epause, gnd(0));
595
      ereset_pad : outpad generic map (tech => padtech)
596
        port map (ereset, gnd(0));
597
 
598
    end generate;
599
 
600
-----------------------------------------------------------------------
601
---  CAN --------------------------------------------------------------
602
-----------------------------------------------------------------------
603
   can0 : if CFG_CAN = 1 generate
604
     can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
605
        iomask => 16#FFF#, irq => CFG_CANIRQ, memtech => memtech)
606
      port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
607
   end generate;
608
   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
609
 
610
   can_stb <= '0';   -- no standby
611
 
612
   can_loopback : if CFG_CANLOOP = 1 generate
613
     can_lrx <= can_ltx;
614
   end generate;
615
 
616
   can_pads : if CFG_CANLOOP = 0 generate
617
      can_tx_pad : outpad generic map (tech => padtech)
618
        port map (can_txd, can_ltx);
619
      can_rx_pad : inpad generic map (tech => padtech)
620
        port map (can_rxd, can_lrx);
621
    end generate;
622
 
623
-----------------------------------------------------------------------
624
---  AHB RAM ----------------------------------------------------------
625
-----------------------------------------------------------------------
626
 
627
  ocram : if CFG_AHBRAMEN = 1 generate
628
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
629
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
630
    port map ( rstn, clkm, ahbsi, ahbso(7));
631
  end generate;
632
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
633
 
634
-----------------------------------------------------------------------
635
---  SPACEWIRE  -------------------------------------------------------
636
-----------------------------------------------------------------------
637
 
638
  spw : if CFG_SPW_EN > 0 generate
639
   spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_lclk);
640
   swloop : for i in 0 to CFG_SPW_NUM-1 generate
641
   sw0 : grspwm generic map(tech => memtech,
642
     hindex => maxahbmsp+i, pindex => 12+i, paddr => 12+i, pirq => 10+i,
643
     sysfreq => sysfreq, nsync => 1, rmap => 0, ports => 1, dmachan => 1,
644
     fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO,
645
     rxclkbuftype => 1, spwcore => CFG_SPW_GRSPW)
646
     port map(resetn, clkm, spw_lclk, ahbmi, ahbmo(maxahbmsp+i),
647
        apbi, apbo(12+i), spwi(i), spwo(i));
648
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
649
     spwi(i).clkdiv10 <= conv_std_logic_vector(sysfreq/10000-1, 8);
650
     spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v)
651
        port map (spw_rxd(i), spw_rxdn(i), spwi(i).d(0));
652
     spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v)
653
        port map (spw_rxs(i), spw_rxsn(i), spwi(i).s(0));
654
     spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v)
655
        port map (spw_txd(i), spw_txdn(i), spwo(i).d(0), gnd(0));
656
     spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v)
657
        port map (spw_txs(i), spw_txsn(i), spwo(i).s(0), gnd(0));
658
   end generate;
659
  end generate;
660
 
661
 
662
-----------------------------------------------------------------------
663
---  Drive unused bus elements  ---------------------------------------
664
-----------------------------------------------------------------------
665
 
666
--  nam1 : for i in maxahbm to NAHBMST-1 generate
667
--    ahbmo(i) <= ahbm_none;
668
--  end generate;
669
--  nam2 : if CFG_PCI > 1 generate
670
--    ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none;
671
--  end generate;
672
--  nap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
673
--  apbo(6) <= apb_none;
674
--  nah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
675
 
676
-----------------------------------------------------------------------
677
---  Boot message  ----------------------------------------------------
678
-----------------------------------------------------------------------
679
 
680
-- pragma translate_off
681
  x : report_version
682
  generic map (
683
   msg1 => "LEON3 MP Demonstration design",
684
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
685
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
686
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
687
   mdel => 1
688
  );
689
-- pragma translate_on
690
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.