OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp_libero.prj] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
KEY LIBERO "8.1"
2
KEY CAPTURE "8.1.0.32"
3
KEY HDLTechnology "VHDL"
4
KEY VendorTechnology_Family "spartan3"
5
KEY VendorTechnology_Die ""
6
KEY VendorTechnology_Package ""
7
KEY ProjectLocation "."
8
KEY SimulationType "VHDL"
9
KEY Vendor "Actel"
10
KEY ActiveRoot "leon3mp"
11
LIST REVISIONS
12
VALUE="Impl1",NUM=1
13
CURREV=1
14
ENDLIST
15
LIST LIBRARIES
16
grlib
17
synplify
18
techmap
19
spw
20
eth
21
opencores
22
gaisler
23
esa
24
micron
25
work
26
ENDLIST
27
LIST LIBRARIES_grlib
28
ALIAS=grlib
29
COMPILE_OPTION=COMPILE
30
ENDLIST
31
LIST LIBRARIES_synplify
32
ALIAS=synplify
33
COMPILE_OPTION=COMPILE
34
ENDLIST
35
LIST LIBRARIES_techmap
36
ALIAS=techmap
37
COMPILE_OPTION=COMPILE
38
ENDLIST
39
LIST LIBRARIES_spw
40
ALIAS=spw
41
COMPILE_OPTION=COMPILE
42
ENDLIST
43
LIST LIBRARIES_eth
44
ALIAS=eth
45
COMPILE_OPTION=COMPILE
46
ENDLIST
47
LIST LIBRARIES_opencores
48
ALIAS=opencores
49
COMPILE_OPTION=COMPILE
50
ENDLIST
51
LIST LIBRARIES_gaisler
52
ALIAS=gaisler
53
COMPILE_OPTION=COMPILE
54
ENDLIST
55
LIST LIBRARIES_esa
56
ALIAS=esa
57
COMPILE_OPTION=COMPILE
58
ENDLIST
59
LIST LIBRARIES_micron
60
ALIAS=micron
61
COMPILE_OPTION=COMPILE
62
ENDLIST
63
LIST LIBRARIES_work
64
ALIAS=work
65
COMPILE_OPTION=COMPILE
66
ENDLIST
67
LIST FileManager
68
VALUE "/../../lib/grlib/stdlib/version.vhd,hdl"
69
STATE="utd"
70
LIBRARY="grlib"
71
ENDFILE
72
VALUE "/../../lib/grlib/stdlib/stdlib.vhd,hdl"
73
STATE="utd"
74
LIBRARY="grlib"
75
ENDFILE
76
VALUE "/../../lib/grlib/stdlib/stdio.vhd,hdl"
77
STATE="utd"
78
LIBRARY="grlib"
79
ENDFILE
80
VALUE "/../../lib/grlib/util/util.vhd,hdl"
81
STATE="utd"
82
LIBRARY="grlib"
83
ENDFILE
84
VALUE "/../../lib/grlib/sparc/sparc.vhd,hdl"
85
STATE="utd"
86
LIBRARY="grlib"
87
ENDFILE
88
VALUE "/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
89
STATE="utd"
90
LIBRARY="grlib"
91
ENDFILE
92
VALUE "/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
93
STATE="utd"
94
LIBRARY="grlib"
95
ENDFILE
96
VALUE "/../../lib/grlib/modgen/multlib.vhd,hdl"
97
STATE="utd"
98
LIBRARY="grlib"
99
ENDFILE
100
VALUE "/../../lib/grlib/modgen/leaves.vhd,hdl"
101
STATE="utd"
102
LIBRARY="grlib"
103
ENDFILE
104
VALUE "/../../lib/grlib/amba/amba.vhd,hdl"
105
STATE="utd"
106
LIBRARY="grlib"
107
ENDFILE
108
VALUE "/../../lib/grlib/amba/devices.vhd,hdl"
109
STATE="utd"
110
LIBRARY="grlib"
111
ENDFILE
112
VALUE "/../../lib/grlib/amba/defmst.vhd,hdl"
113
STATE="utd"
114
LIBRARY="grlib"
115
ENDFILE
116
VALUE "/../../lib/grlib/amba/apbctrl.vhd,hdl"
117
STATE="utd"
118
LIBRARY="grlib"
119
ENDFILE
120
VALUE "/../../lib/grlib/amba/ahbctrl.vhd,hdl"
121
STATE="utd"
122
LIBRARY="grlib"
123
ENDFILE
124
VALUE "/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
125
STATE="utd"
126
LIBRARY="grlib"
127
ENDFILE
128
VALUE "/../../lib/grlib/amba/dma2ahb.vhd,hdl"
129
STATE="utd"
130
LIBRARY="grlib"
131
ENDFILE
132
VALUE "/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
133
STATE="utd"
134
LIBRARY="grlib"
135
ENDFILE
136
VALUE "/../../lib/synplify/sim/synplify.vhd,hdl"
137
STATE="utd"
138
LIBRARY="synplify"
139
ENDFILE
140
VALUE "/../../lib/synplify/sim/synattr.vhd,hdl"
141
STATE="utd"
142
LIBRARY="synplify"
143
ENDFILE
144
VALUE "/../../lib/techmap/gencomp/gencomp.vhd,hdl"
145
STATE="utd"
146
LIBRARY="techmap"
147
ENDFILE
148
VALUE "/../../lib/techmap/gencomp/netcomp.vhd,hdl"
149
STATE="utd"
150
LIBRARY="techmap"
151
ENDFILE
152
VALUE "/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
153
STATE="utd"
154
LIBRARY="techmap"
155
ENDFILE
156
VALUE "/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
157
STATE="utd"
158
LIBRARY="techmap"
159
ENDFILE
160
VALUE "/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
161
STATE="utd"
162
LIBRARY="techmap"
163
ENDFILE
164
VALUE "/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
165
STATE="utd"
166
LIBRARY="techmap"
167
ENDFILE
168
VALUE "/../../lib/techmap/maps/allclkgen.vhd,hdl"
169
STATE="utd"
170
LIBRARY="techmap"
171
ENDFILE
172
VALUE "/../../lib/techmap/maps/allddr.vhd,hdl"
173
STATE="utd"
174
LIBRARY="techmap"
175
ENDFILE
176
VALUE "/../../lib/techmap/maps/allmem.vhd,hdl"
177
STATE="utd"
178
LIBRARY="techmap"
179
ENDFILE
180
VALUE "/../../lib/techmap/maps/allpads.vhd,hdl"
181
STATE="utd"
182
LIBRARY="techmap"
183
ENDFILE
184
VALUE "/../../lib/techmap/maps/alltap.vhd,hdl"
185
STATE="utd"
186
LIBRARY="techmap"
187
ENDFILE
188
VALUE "/../../lib/techmap/maps/clkgen.vhd,hdl"
189
STATE="utd"
190
LIBRARY="techmap"
191
ENDFILE
192
VALUE "/../../lib/techmap/maps/clkmux.vhd,hdl"
193
STATE="utd"
194
LIBRARY="techmap"
195
ENDFILE
196
VALUE "/../../lib/techmap/maps/clkand.vhd,hdl"
197
STATE="utd"
198
LIBRARY="techmap"
199
ENDFILE
200
VALUE "/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
201
STATE="utd"
202
LIBRARY="techmap"
203
ENDFILE
204
VALUE "/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
205
STATE="utd"
206
LIBRARY="techmap"
207
ENDFILE
208
VALUE "/../../lib/techmap/maps/ddrphy.vhd,hdl"
209
STATE="utd"
210
LIBRARY="techmap"
211
ENDFILE
212
VALUE "/../../lib/techmap/maps/syncram.vhd,hdl"
213
STATE="utd"
214
LIBRARY="techmap"
215
ENDFILE
216
VALUE "/../../lib/techmap/maps/syncram64.vhd,hdl"
217
STATE="utd"
218
LIBRARY="techmap"
219
ENDFILE
220
VALUE "/../../lib/techmap/maps/syncram_2p.vhd,hdl"
221
STATE="utd"
222
LIBRARY="techmap"
223
ENDFILE
224
VALUE "/../../lib/techmap/maps/syncram_dp.vhd,hdl"
225
STATE="utd"
226
LIBRARY="techmap"
227
ENDFILE
228
VALUE "/../../lib/techmap/maps/syncfifo.vhd,hdl"
229
STATE="utd"
230
LIBRARY="techmap"
231
ENDFILE
232
VALUE "/../../lib/techmap/maps/regfile_3p.vhd,hdl"
233
STATE="utd"
234
LIBRARY="techmap"
235
ENDFILE
236
VALUE "/../../lib/techmap/maps/tap.vhd,hdl"
237
STATE="utd"
238
LIBRARY="techmap"
239
ENDFILE
240
VALUE "/../../lib/techmap/maps/techbuf.vhd,hdl"
241
STATE="utd"
242
LIBRARY="techmap"
243
ENDFILE
244
VALUE "/../../lib/techmap/maps/clkpad.vhd,hdl"
245
STATE="utd"
246
LIBRARY="techmap"
247
ENDFILE
248
VALUE "/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
249
STATE="utd"
250
LIBRARY="techmap"
251
ENDFILE
252
VALUE "/../../lib/techmap/maps/inpad.vhd,hdl"
253
STATE="utd"
254
LIBRARY="techmap"
255
ENDFILE
256
VALUE "/../../lib/techmap/maps/inpad_ds.vhd,hdl"
257
STATE="utd"
258
LIBRARY="techmap"
259
ENDFILE
260
VALUE "/../../lib/techmap/maps/iodpad.vhd,hdl"
261
STATE="utd"
262
LIBRARY="techmap"
263
ENDFILE
264
VALUE "/../../lib/techmap/maps/iopad.vhd,hdl"
265
STATE="utd"
266
LIBRARY="techmap"
267
ENDFILE
268
VALUE "/../../lib/techmap/maps/iopad_ds.vhd,hdl"
269
STATE="utd"
270
LIBRARY="techmap"
271
ENDFILE
272
VALUE "/../../lib/techmap/maps/lvds_combo.vhd,hdl"
273
STATE="utd"
274
LIBRARY="techmap"
275
ENDFILE
276
VALUE "/../../lib/techmap/maps/odpad.vhd,hdl"
277
STATE="utd"
278
LIBRARY="techmap"
279
ENDFILE
280
VALUE "/../../lib/techmap/maps/outpad.vhd,hdl"
281
STATE="utd"
282
LIBRARY="techmap"
283
ENDFILE
284
VALUE "/../../lib/techmap/maps/outpad_ds.vhd,hdl"
285
STATE="utd"
286
LIBRARY="techmap"
287
ENDFILE
288
VALUE "/../../lib/techmap/maps/toutpad.vhd,hdl"
289
STATE="utd"
290
LIBRARY="techmap"
291
ENDFILE
292
VALUE "/../../lib/techmap/maps/skew_outpad.vhd,hdl"
293
STATE="utd"
294
LIBRARY="techmap"
295
ENDFILE
296
VALUE "/../../lib/techmap/maps/grspwc_net.vhd,hdl"
297
STATE="utd"
298
LIBRARY="techmap"
299
ENDFILE
300
VALUE "/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
301
STATE="utd"
302
LIBRARY="techmap"
303
ENDFILE
304
VALUE "/../../lib/techmap/maps/grfpw_net.vhd,hdl"
305
STATE="utd"
306
LIBRARY="techmap"
307
ENDFILE
308
VALUE "/../../lib/techmap/maps/mul_61x61.vhd,hdl"
309
STATE="utd"
310
LIBRARY="techmap"
311
ENDFILE
312
VALUE "/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
313
STATE="utd"
314
LIBRARY="techmap"
315
ENDFILE
316
VALUE "/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
317
STATE="utd"
318
LIBRARY="techmap"
319
ENDFILE
320
VALUE "/../../lib/techmap/maps/ringosc.vhd,hdl"
321
STATE="utd"
322
LIBRARY="techmap"
323
ENDFILE
324
VALUE "/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
325
STATE="utd"
326
LIBRARY="techmap"
327
ENDFILE
328
VALUE "/../../lib/spw/comp/spwcomp.vhd,hdl"
329
STATE="utd"
330
LIBRARY="spw"
331
ENDFILE
332
VALUE "/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
333
STATE="utd"
334
LIBRARY="spw"
335
ENDFILE
336
VALUE "/../../lib/eth/comp/ethcomp.vhd,hdl"
337
STATE="utd"
338
LIBRARY="eth"
339
ENDFILE
340
VALUE "/../../lib/eth/core/greth_pkg.vhd,hdl"
341
STATE="utd"
342
LIBRARY="eth"
343
ENDFILE
344
VALUE "/../../lib/eth/core/eth_rstgen.vhd,hdl"
345
STATE="utd"
346
LIBRARY="eth"
347
ENDFILE
348
VALUE "/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
349
STATE="utd"
350
LIBRARY="eth"
351
ENDFILE
352
VALUE "/../../lib/eth/core/greth_tx.vhd,hdl"
353
STATE="utd"
354
LIBRARY="eth"
355
ENDFILE
356
VALUE "/../../lib/eth/core/greth_rx.vhd,hdl"
357
STATE="utd"
358
LIBRARY="eth"
359
ENDFILE
360
VALUE "/../../lib/eth/core/grethc.vhd,hdl"
361
STATE="utd"
362
LIBRARY="eth"
363
ENDFILE
364
VALUE "/../../lib/eth/wrapper/greth_gen.vhd,hdl"
365
STATE="utd"
366
LIBRARY="eth"
367
ENDFILE
368
VALUE "/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
369
STATE="utd"
370
LIBRARY="eth"
371
ENDFILE
372
VALUE "/../../lib/opencores/occomp/occomp.vhd,hdl"
373
STATE="utd"
374
LIBRARY="opencores"
375
ENDFILE
376
VALUE "/../../lib/opencores/can/cancomp.vhd,hdl"
377
STATE="utd"
378
LIBRARY="opencores"
379
ENDFILE
380
VALUE "/../../lib/opencores/can/can_top.vhd,hdl"
381
STATE="utd"
382
LIBRARY="opencores"
383
ENDFILE
384
VALUE "/../../lib/opencores/can/can_top_core_sync.vhd,hdl"
385
STATE="utd"
386
LIBRARY="opencores"
387
ENDFILE
388
VALUE "/../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd,hdl"
389
STATE="utd"
390
LIBRARY="opencores"
391
ENDFILE
392
VALUE "/../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd,hdl"
393
STATE="utd"
394
LIBRARY="opencores"
395
ENDFILE
396
VALUE "/../../lib/opencores/i2c/i2coc.vhd,hdl"
397
STATE="utd"
398
LIBRARY="opencores"
399
ENDFILE
400
VALUE "/../../lib/opencores/spi/simple_spi_top.v,hdl"
401
STATE="utd"
402
LIBRARY="opencores"
403
ENDFILE
404
VALUE "/../../lib/opencores/ata/ud_cnt.vhd,hdl"
405
STATE="utd"
406
LIBRARY="opencores"
407
ENDFILE
408
VALUE "/../../lib/opencores/ata/ro_cnt.vhd,hdl"
409
STATE="utd"
410
LIBRARY="opencores"
411
ENDFILE
412
VALUE "/../../lib/opencores/ata/atahost_dma_fifo.vhd,hdl"
413
STATE="utd"
414
LIBRARY="opencores"
415
ENDFILE
416
VALUE "/../../lib/opencores/ata/atahost_dma_actrl.vhd,hdl"
417
STATE="utd"
418
LIBRARY="opencores"
419
ENDFILE
420
VALUE "/../../lib/opencores/ata/atahost_dma_tctrl.vhd,hdl"
421
STATE="utd"
422
LIBRARY="opencores"
423
ENDFILE
424
VALUE "/../../lib/opencores/ata/atahost_pio_tctrl.vhd,hdl"
425
STATE="utd"
426
LIBRARY="opencores"
427
ENDFILE
428
VALUE "/../../lib/opencores/ata/atahost_pio_actrl.vhd,hdl"
429
STATE="utd"
430
LIBRARY="opencores"
431
ENDFILE
432
VALUE "/../../lib/opencores/ata/atahost_controller.vhd,hdl"
433
STATE="utd"
434
LIBRARY="opencores"
435
ENDFILE
436
VALUE "/../../lib/opencores/ata/atahost_pio_controller.vhd,hdl"
437
STATE="utd"
438
LIBRARY="opencores"
439
ENDFILE
440
VALUE "/../../lib/opencores/ata/ocidec2_controller.vhd,hdl"
441
STATE="utd"
442
LIBRARY="opencores"
443
ENDFILE
444
VALUE "/../../lib/opencores/ata/ata_device_oc.v,hdl"
445
STATE="utd"
446
LIBRARY="opencores"
447
ENDFILE
448
VALUE "/../../lib/opencores/ac97/ac97_top.v,hdl"
449
STATE="utd"
450
LIBRARY="opencores"
451
ENDFILE
452
VALUE "/../../lib/gaisler/arith/arith.vhd,hdl"
453
STATE="utd"
454
LIBRARY="gaisler"
455
ENDFILE
456
VALUE "/../../lib/gaisler/arith/mul32.vhd,hdl"
457
STATE="utd"
458
LIBRARY="gaisler"
459
ENDFILE
460
VALUE "/../../lib/gaisler/arith/div32.vhd,hdl"
461
STATE="utd"
462
LIBRARY="gaisler"
463
ENDFILE
464
VALUE "/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
465
STATE="utd"
466
LIBRARY="gaisler"
467
ENDFILE
468
VALUE "/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
469
STATE="utd"
470
LIBRARY="gaisler"
471
ENDFILE
472
VALUE "/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
473
STATE="utd"
474
LIBRARY="gaisler"
475
ENDFILE
476
VALUE "/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
477
STATE="utd"
478
LIBRARY="gaisler"
479
ENDFILE
480
VALUE "/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
481
STATE="utd"
482
LIBRARY="gaisler"
483
ENDFILE
484
VALUE "/../../lib/gaisler/leon3/leon3.vhd,hdl"
485
STATE="utd"
486
LIBRARY="gaisler"
487
ENDFILE
488
VALUE "/../../lib/gaisler/leon3/reg_zero.vhd,hdl"
489
STATE="utd"
490
LIBRARY="gaisler"
491
ENDFILE
492
VALUE "/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
493
STATE="utd"
494
LIBRARY="gaisler"
495
ENDFILE
496
VALUE "/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
497
STATE="utd"
498
LIBRARY="gaisler"
499
ENDFILE
500
VALUE "/../../lib/gaisler/leon3/libmmu.vhd,hdl"
501
STATE="utd"
502
LIBRARY="gaisler"
503
ENDFILE
504
VALUE "/../../lib/gaisler/leon3/libiu.vhd,hdl"
505
STATE="utd"
506
LIBRARY="gaisler"
507
ENDFILE
508
VALUE "/../../lib/gaisler/leon3/libcache.vhd,hdl"
509
STATE="utd"
510
LIBRARY="gaisler"
511
ENDFILE
512
VALUE "/../../lib/gaisler/leon3/libproc3.vhd,hdl"
513
STATE="utd"
514
LIBRARY="gaisler"
515
ENDFILE
516
VALUE "/../../lib/gaisler/leon3/cachemem.vhd,hdl"
517
STATE="utd"
518
LIBRARY="gaisler"
519
ENDFILE
520
VALUE "/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
521
STATE="utd"
522
LIBRARY="gaisler"
523
ENDFILE
524
VALUE "/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
525
STATE="utd"
526
LIBRARY="gaisler"
527
ENDFILE
528
VALUE "/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
529
STATE="utd"
530
LIBRARY="gaisler"
531
ENDFILE
532
VALUE "/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
533
STATE="utd"
534
LIBRARY="gaisler"
535
ENDFILE
536
VALUE "/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
537
STATE="utd"
538
LIBRARY="gaisler"
539
ENDFILE
540
VALUE "/../../lib/gaisler/leon3/mmulru.vhd,hdl"
541
STATE="utd"
542
LIBRARY="gaisler"
543
ENDFILE
544
VALUE "/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
545
STATE="utd"
546
LIBRARY="gaisler"
547
ENDFILE
548
VALUE "/../../lib/gaisler/leon3/mmutw.vhd,hdl"
549
STATE="utd"
550
LIBRARY="gaisler"
551
ENDFILE
552
VALUE "/../../lib/gaisler/leon3/mmu.vhd,hdl"
553
STATE="utd"
554
LIBRARY="gaisler"
555
ENDFILE
556
VALUE "/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
557
STATE="utd"
558
LIBRARY="gaisler"
559
ENDFILE
560
VALUE "/../../lib/gaisler/leon3/acache.vhd,hdl"
561
STATE="utd"
562
LIBRARY="gaisler"
563
ENDFILE
564
VALUE "/../../lib/gaisler/leon3/dcache.vhd,hdl"
565
STATE="utd"
566
LIBRARY="gaisler"
567
ENDFILE
568
VALUE "/../../lib/gaisler/leon3/icache.vhd,hdl"
569
STATE="utd"
570
LIBRARY="gaisler"
571
ENDFILE
572
VALUE "/../../lib/gaisler/leon3/cache.vhd,hdl"
573
STATE="utd"
574
LIBRARY="gaisler"
575
ENDFILE
576
VALUE "/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
577
STATE="utd"
578
LIBRARY="gaisler"
579
ENDFILE
580
VALUE "/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
581
STATE="utd"
582
LIBRARY="gaisler"
583
ENDFILE
584
VALUE "/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
585
STATE="utd"
586
LIBRARY="gaisler"
587
ENDFILE
588
VALUE "/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
589
STATE="utd"
590
LIBRARY="gaisler"
591
ENDFILE
592
VALUE "/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
593
STATE="utd"
594
LIBRARY="gaisler"
595
ENDFILE
596
VALUE "/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
597
STATE="utd"
598
LIBRARY="gaisler"
599
ENDFILE
600
VALUE "/../../lib/gaisler/leon3/dsu3.vhd,hdl"
601
STATE="utd"
602
LIBRARY="gaisler"
603
ENDFILE
604
VALUE "/../../lib/gaisler/leon3/proc3.vhd,hdl"
605
STATE="utd"
606
LIBRARY="gaisler"
607
ENDFILE
608
VALUE "/../../lib/gaisler/leon3/leon3s.vhd,hdl"
609
STATE="utd"
610
LIBRARY="gaisler"
611
ENDFILE
612
VALUE "/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
613
STATE="utd"
614
LIBRARY="gaisler"
615
ENDFILE
616
VALUE "/../../lib/gaisler/leon3/irqmp.vhd,hdl"
617
STATE="utd"
618
LIBRARY="gaisler"
619
ENDFILE
620
VALUE "/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
621
STATE="utd"
622
LIBRARY="gaisler"
623
ENDFILE
624
VALUE "/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
625
STATE="utd"
626
LIBRARY="gaisler"
627
ENDFILE
628
VALUE "/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
629
STATE="utd"
630
LIBRARY="gaisler"
631
ENDFILE
632
VALUE "/../../lib/gaisler/leon3/my_mux.vhd,hdl"
633
STATE="utd"
634
LIBRARY="gaisler"
635
ENDFILE
636
VALUE "/../../lib/gaisler/leon3/top.vhd,hdl"
637
STATE="utd"
638
LIBRARY="gaisler"
639
ENDFILE
640
VALUE "/../../lib/gaisler/can/can.vhd,hdl"
641
STATE="utd"
642
LIBRARY="gaisler"
643
ENDFILE
644
VALUE "/../../lib/gaisler/can/can_mod.vhd,hdl"
645
STATE="utd"
646
LIBRARY="gaisler"
647
ENDFILE
648
VALUE "/../../lib/gaisler/can/can_oc.vhd,hdl"
649
STATE="utd"
650
LIBRARY="gaisler"
651
ENDFILE
652
VALUE "/../../lib/gaisler/can/can_mc.vhd,hdl"
653
STATE="utd"
654
LIBRARY="gaisler"
655
ENDFILE
656
VALUE "/../../lib/gaisler/can/canmux.vhd,hdl"
657
STATE="utd"
658
LIBRARY="gaisler"
659
ENDFILE
660
VALUE "/../../lib/gaisler/can/can_rd.vhd,hdl"
661
STATE="utd"
662
LIBRARY="gaisler"
663
ENDFILE
664
VALUE "/../../lib/gaisler/misc/misc.vhd,hdl"
665
STATE="utd"
666
LIBRARY="gaisler"
667
ENDFILE
668
VALUE "/../../lib/gaisler/misc/rstgen.vhd,hdl"
669
STATE="utd"
670
LIBRARY="gaisler"
671
ENDFILE
672
VALUE "/../../lib/gaisler/misc/gptimer.vhd,hdl"
673
STATE="utd"
674
LIBRARY="gaisler"
675
ENDFILE
676
VALUE "/../../lib/gaisler/misc/ahbram.vhd,hdl"
677
STATE="utd"
678
LIBRARY="gaisler"
679
ENDFILE
680
VALUE "/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
681
STATE="utd"
682
LIBRARY="gaisler"
683
ENDFILE
684
VALUE "/../../lib/gaisler/misc/ahbmst.vhd,hdl"
685
STATE="utd"
686
LIBRARY="gaisler"
687
ENDFILE
688
VALUE "/../../lib/gaisler/misc/grgpio.vhd,hdl"
689
STATE="utd"
690
LIBRARY="gaisler"
691
ENDFILE
692
VALUE "/../../lib/gaisler/misc/ahbstat.vhd,hdl"
693
STATE="utd"
694
LIBRARY="gaisler"
695
ENDFILE
696
VALUE "/../../lib/gaisler/misc/logan.vhd,hdl"
697
STATE="utd"
698
LIBRARY="gaisler"
699
ENDFILE
700
VALUE "/../../lib/gaisler/misc/apbps2.vhd,hdl"
701
STATE="utd"
702
LIBRARY="gaisler"
703
ENDFILE
704
VALUE "/../../lib/gaisler/misc/charrom_package.vhd,hdl"
705
STATE="utd"
706
LIBRARY="gaisler"
707
ENDFILE
708
VALUE "/../../lib/gaisler/misc/charrom.vhd,hdl"
709
STATE="utd"
710
LIBRARY="gaisler"
711
ENDFILE
712
VALUE "/../../lib/gaisler/misc/apbvga.vhd,hdl"
713
STATE="utd"
714
LIBRARY="gaisler"
715
ENDFILE
716
VALUE "/../../lib/gaisler/misc/ahbdma.vhd,hdl"
717
STATE="utd"
718
LIBRARY="gaisler"
719
ENDFILE
720
VALUE "/../../lib/gaisler/misc/svgactrl.vhd,hdl"
721
STATE="utd"
722
LIBRARY="gaisler"
723
ENDFILE
724
VALUE "/../../lib/gaisler/misc/i2cmst.vhd,hdl"
725
STATE="utd"
726
LIBRARY="gaisler"
727
ENDFILE
728
VALUE "/../../lib/gaisler/misc/spictrl.vhd,hdl"
729
STATE="utd"
730
LIBRARY="gaisler"
731
ENDFILE
732
VALUE "/../../lib/gaisler/misc/i2cslv.vhd,hdl"
733
STATE="utd"
734
LIBRARY="gaisler"
735
ENDFILE
736
VALUE "/../../lib/gaisler/misc/wild.vhd,hdl"
737
STATE="utd"
738
LIBRARY="gaisler"
739
ENDFILE
740
VALUE "/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
741
STATE="utd"
742
LIBRARY="gaisler"
743
ENDFILE
744
VALUE "/../../lib/gaisler/net/net.vhd,hdl"
745
STATE="utd"
746
LIBRARY="gaisler"
747
ENDFILE
748
VALUE "/../../lib/gaisler/uart/uart.vhd,hdl"
749
STATE="utd"
750
LIBRARY="gaisler"
751
ENDFILE
752
VALUE "/../../lib/gaisler/uart/libdcom.vhd,hdl"
753
STATE="utd"
754
LIBRARY="gaisler"
755
ENDFILE
756
VALUE "/../../lib/gaisler/uart/apbuart.vhd,hdl"
757
STATE="utd"
758
LIBRARY="gaisler"
759
ENDFILE
760
VALUE "/../../lib/gaisler/uart/dcom.vhd,hdl"
761
STATE="utd"
762
LIBRARY="gaisler"
763
ENDFILE
764
VALUE "/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
765
STATE="utd"
766
LIBRARY="gaisler"
767
ENDFILE
768
VALUE "/../../lib/gaisler/uart/ahbuart.vhd,hdl"
769
STATE="utd"
770
LIBRARY="gaisler"
771
ENDFILE
772
VALUE "/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
773
STATE="utd"
774
LIBRARY="gaisler"
775
ENDFILE
776
VALUE "/../../lib/gaisler/sim/sim.vhd,hdl"
777
STATE="utd"
778
LIBRARY="gaisler"
779
ENDFILE
780
VALUE "/../../lib/gaisler/sim/sram.vhd,hdl"
781
STATE="utd"
782
LIBRARY="gaisler"
783
ENDFILE
784
VALUE "/../../lib/gaisler/sim/ata_device.vhd,hdl"
785
STATE="utd"
786
LIBRARY="gaisler"
787
ENDFILE
788
VALUE "/../../lib/gaisler/sim/sram16.vhd,hdl"
789
STATE="utd"
790
LIBRARY="gaisler"
791
ENDFILE
792
VALUE "/../../lib/gaisler/sim/phy.vhd,hdl"
793
STATE="utd"
794
LIBRARY="gaisler"
795
ENDFILE
796
VALUE "/../../lib/gaisler/sim/ahbrep.vhd,hdl"
797
STATE="utd"
798
LIBRARY="gaisler"
799
ENDFILE
800
VALUE "/../../lib/gaisler/jtag/jtag.vhd,hdl"
801
STATE="utd"
802
LIBRARY="gaisler"
803
ENDFILE
804
VALUE "/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
805
STATE="utd"
806
LIBRARY="gaisler"
807
ENDFILE
808
VALUE "/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
809
STATE="utd"
810
LIBRARY="gaisler"
811
ENDFILE
812
VALUE "/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
813
STATE="utd"
814
LIBRARY="gaisler"
815
ENDFILE
816
VALUE "/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
817
STATE="utd"
818
LIBRARY="gaisler"
819
ENDFILE
820
VALUE "/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
821
STATE="utd"
822
LIBRARY="gaisler"
823
ENDFILE
824
VALUE "/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
825
STATE="utd"
826
LIBRARY="gaisler"
827
ENDFILE
828
VALUE "/../../lib/gaisler/greth/greth.vhd,hdl"
829
STATE="utd"
830
LIBRARY="gaisler"
831
ENDFILE
832
VALUE "/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
833
STATE="utd"
834
LIBRARY="gaisler"
835
ENDFILE
836
VALUE "/../../lib/gaisler/greth/grethm.vhd,hdl"
837
STATE="utd"
838
LIBRARY="gaisler"
839
ENDFILE
840
VALUE "/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
841
STATE="utd"
842
LIBRARY="gaisler"
843
ENDFILE
844
VALUE "/../../lib/gaisler/spacewire/grspw.vhd,hdl"
845
STATE="utd"
846
LIBRARY="gaisler"
847
ENDFILE
848
VALUE "/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
849
STATE="utd"
850
LIBRARY="gaisler"
851
ENDFILE
852
VALUE "/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
853
STATE="utd"
854
LIBRARY="gaisler"
855
ENDFILE
856
VALUE "/../../lib/gaisler/usb/grusb.vhd,hdl"
857
STATE="utd"
858
LIBRARY="gaisler"
859
ENDFILE
860
VALUE "/../../lib/gaisler/ata/ata.vhd,hdl"
861
STATE="utd"
862
LIBRARY="gaisler"
863
ENDFILE
864
VALUE "/../../lib/gaisler/ata/ata_inf.vhd,hdl"
865
STATE="utd"
866
LIBRARY="gaisler"
867
ENDFILE
868
VALUE "/../../lib/gaisler/ata/atahost_amba_slave.vhd,hdl"
869
STATE="utd"
870
LIBRARY="gaisler"
871
ENDFILE
872
VALUE "/../../lib/gaisler/ata/atahost_ahbmst.vhd,hdl"
873
STATE="utd"
874
LIBRARY="gaisler"
875
ENDFILE
876
VALUE "/../../lib/gaisler/ata/ocidec2_amba_slave.vhd,hdl"
877
STATE="utd"
878
LIBRARY="gaisler"
879
ENDFILE
880
VALUE "/../../lib/gaisler/ata/atactrl_nodma.vhd,hdl"
881
STATE="utd"
882
LIBRARY="gaisler"
883
ENDFILE
884
VALUE "/../../lib/gaisler/ata/atactrl_dma.vhd,hdl"
885
STATE="utd"
886
LIBRARY="gaisler"
887
ENDFILE
888
VALUE "/../../lib/gaisler/ata/atactrl.vhd,hdl"
889
STATE="utd"
890
LIBRARY="gaisler"
891
ENDFILE
892
VALUE "/../../lib/gaisler/vlog/decode_pipe1.v,hdl"
893
STATE="utd"
894
LIBRARY="gaisler"
895
ENDFILE
896
VALUE "/../../lib/gaisler/vlog/EXEC_stage.v,hdl"
897
STATE="utd"
898
LIBRARY="gaisler"
899
ENDFILE
900
VALUE "/../../lib/gaisler/vlog/ulit.v,hdl"
901
STATE="utd"
902
LIBRARY="gaisler"
903
ENDFILE
904
VALUE "/../../lib/gaisler/vlog/ctl_fsm1.v,hdl"
905
STATE="utd"
906
LIBRARY="gaisler"
907
ENDFILE
908
VALUE "/../../lib/gaisler/vlog/RF_stage1.v,hdl"
909
STATE="utd"
910
LIBRARY="gaisler"
911
ENDFILE
912
VALUE "/../../lib/gaisler/vlog/RF_components1.v,hdl"
913
STATE="utd"
914
LIBRARY="gaisler"
915
ENDFILE
916
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
917
STATE="utd"
918
LIBRARY="gaisler"
919
ENDFILE
920
VALUE "/../../lib/gaisler/vlog/mips789_defs.v,hdl"
921
STATE="utd"
922
LIBRARY="gaisler"
923
ENDFILE
924
VALUE "/../../lib/gaisler/vlog/hazard_unit.v,hdl"
925
STATE="utd"
926
LIBRARY="gaisler"
927
ENDFILE
928
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
929
STATE="utd"
930
LIBRARY="gaisler"
931
ENDFILE
932
VALUE "/../../lib/gaisler/vlog/core1.v,hdl"
933
STATE="utd"
934
LIBRARY="gaisler"
935
ENDFILE
936
VALUE "/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
937
STATE="utd"
938
LIBRARY="esa"
939
ENDFILE
940
VALUE "/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
941
STATE="utd"
942
LIBRARY="esa"
943
ENDFILE
944
VALUE "/../../lib/micron/sdram/mobile_sdr.v,hdl"
945
STATE="utd"
946
LIBRARY="micron"
947
ENDFILE
948
VALUE "/../../lib/micron/sdram/components.vhd,hdl"
949
STATE="utd"
950
LIBRARY="micron"
951
ENDFILE
952
VALUE "/../../lib/micron/sdram/mt48lc16m16a2.vhd,hdl"
953
STATE="utd"
954
LIBRARY="micron"
955
ENDFILE
956
VALUE "/../../lib/work/debug/debug.vhd,hdl"
957
STATE="utd"
958
LIBRARY="work"
959
ENDFILE
960
VALUE "/../../lib/work/debug/grtestmod.vhd,hdl"
961
STATE="utd"
962
LIBRARY="work"
963
ENDFILE
964
VALUE "/../../lib/work/debug/cpu_disas.vhd,hdl"
965
STATE="utd"
966
LIBRARY="work"
967
ENDFILE
968
VALUE "/config.vhd,hdl"
969
STATE="utd"
970
LIBRARY="work"
971
ENDFILE
972
VALUE "/ahbrom.vhd,hdl"
973
STATE="utd"
974
LIBRARY="work"
975
ENDFILE
976
VALUE "/vga_clkgen.vhd,hdl"
977
STATE="utd"
978
LIBRARY="work"
979
ENDFILE
980
VALUE "/leon3mp.vhd,hdl"
981
STATE="utd"
982
LIBRARY="work"
983
ENDFILE
984
VALUE "/testbench.vhd,hdl"
985
STATE="utd"
986
LIBRARY="work"
987
ENDFILE
988
ENDLIST
989
LIST SimulationOptions
990
ENDLIST
991
LIST ExcludePackageForSimulation
992
LIST leon3mp
993
ENDLIST
994
ENDLIST
995
LIST ExcludePackageForSynthesis
996
LIST leon3mp
997
VALUE "/../../lib/grlib/stdlib/stdio.vhd,hdl"
998
VALUE "/../../lib/grlib/util/util.vhd,hdl"
999
VALUE "/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1000
VALUE "/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1001
VALUE "/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1002
VALUE "/../../lib/synplify/sim/synplify.vhd,hdl"
1003
VALUE "/../../lib/synplify/sim/synattr.vhd,hdl"
1004
VALUE "/../../lib/opencores/ata/ata_device_oc.v,hdl"
1005
VALUE "/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1006
VALUE "/../../lib/gaisler/sim/sim.vhd,hdl"
1007
VALUE "/../../lib/gaisler/sim/sram.vhd,hdl"
1008
VALUE "/../../lib/gaisler/sim/ata_device.vhd,hdl"
1009
VALUE "/../../lib/gaisler/sim/sram16.vhd,hdl"
1010
VALUE "/../../lib/gaisler/sim/phy.vhd,hdl"
1011
VALUE "/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1012
VALUE "/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1013
VALUE "/../../lib/micron/sdram/mobile_sdr.v,hdl"
1014
VALUE "/../../lib/micron/sdram/components.vhd,hdl"
1015
VALUE "/../../lib/micron/sdram/mt48lc16m16a2.vhd,hdl"
1016
VALUE "/../../lib/work/debug/debug.vhd,hdl"
1017
VALUE "/../../lib/work/debug/grtestmod.vhd,hdl"
1018
VALUE "/../../lib/work/debug/cpu_disas.vhd,hdl"
1019
VALUE "/config.vhd,hdl"
1020
VALUE "/ahbrom.vhd,hdl"
1021
VALUE "/vga_clkgen.vhd,hdl"
1022
VALUE "/leon3mp.vhd,hdl"
1023
VALUE "/testbench.vhd,hdl"
1024
VALUE "/testbench.vhd,hdl"
1025
ENDLIST
1026
ENDLIST
1027
LIST IncludeModuleForSimulation
1028
ENDLIST
1029
LIST UserCustomizedFileList
1030
LIST "leon3mp"
1031
LIST "ideSYNTHESIS"
1032
USE_LIST=TRUE
1033
FILELIST
1034
VALUE "/../../lib/grlib/stdlib/version.vhd,hdl"
1035
VALUE "/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1036
VALUE "/../../lib/grlib/sparc/sparc.vhd,hdl"
1037
VALUE "/../../lib/grlib/modgen/multlib.vhd,hdl"
1038
VALUE "/../../lib/grlib/modgen/leaves.vhd,hdl"
1039
VALUE "/../../lib/grlib/amba/amba.vhd,hdl"
1040
VALUE "/../../lib/grlib/amba/devices.vhd,hdl"
1041
VALUE "/../../lib/grlib/amba/defmst.vhd,hdl"
1042
VALUE "/../../lib/grlib/amba/apbctrl.vhd,hdl"
1043
VALUE "/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1044
VALUE "/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1045
VALUE "/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1046
VALUE "/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1047
VALUE "/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1048
VALUE "/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1049
VALUE "/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1050
VALUE "/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1051
VALUE "/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1052
VALUE "/../../lib/techmap/maps/allclkgen.vhd,hdl"
1053
VALUE "/../../lib/techmap/maps/allddr.vhd,hdl"
1054
VALUE "/../../lib/techmap/maps/allmem.vhd,hdl"
1055
VALUE "/../../lib/techmap/maps/allpads.vhd,hdl"
1056
VALUE "/../../lib/techmap/maps/alltap.vhd,hdl"
1057
VALUE "/../../lib/techmap/maps/clkgen.vhd,hdl"
1058
VALUE "/../../lib/techmap/maps/clkmux.vhd,hdl"
1059
VALUE "/../../lib/techmap/maps/clkand.vhd,hdl"
1060
VALUE "/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1061
VALUE "/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1062
VALUE "/../../lib/techmap/maps/ddrphy.vhd,hdl"
1063
VALUE "/../../lib/techmap/maps/syncram.vhd,hdl"
1064
VALUE "/../../lib/techmap/maps/syncram64.vhd,hdl"
1065
VALUE "/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1066
VALUE "/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1067
VALUE "/../../lib/techmap/maps/syncfifo.vhd,hdl"
1068
VALUE "/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1069
VALUE "/../../lib/techmap/maps/tap.vhd,hdl"
1070
VALUE "/../../lib/techmap/maps/techbuf.vhd,hdl"
1071
VALUE "/../../lib/techmap/maps/clkpad.vhd,hdl"
1072
VALUE "/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1073
VALUE "/../../lib/techmap/maps/inpad.vhd,hdl"
1074
VALUE "/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1075
VALUE "/../../lib/techmap/maps/iodpad.vhd,hdl"
1076
VALUE "/../../lib/techmap/maps/iopad.vhd,hdl"
1077
VALUE "/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1078
VALUE "/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1079
VALUE "/../../lib/techmap/maps/odpad.vhd,hdl"
1080
VALUE "/../../lib/techmap/maps/outpad.vhd,hdl"
1081
VALUE "/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1082
VALUE "/../../lib/techmap/maps/toutpad.vhd,hdl"
1083
VALUE "/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1084
VALUE "/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1085
VALUE "/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1086
VALUE "/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1087
VALUE "/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1088
VALUE "/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1089
VALUE "/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1090
VALUE "/../../lib/techmap/maps/ringosc.vhd,hdl"
1091
VALUE "/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1092
VALUE "/../../lib/spw/comp/spwcomp.vhd,hdl"
1093
VALUE "/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1094
VALUE "/../../lib/eth/comp/ethcomp.vhd,hdl"
1095
VALUE "/../../lib/eth/core/greth_pkg.vhd,hdl"
1096
VALUE "/../../lib/eth/core/eth_rstgen.vhd,hdl"
1097
VALUE "/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1098
VALUE "/../../lib/eth/core/greth_tx.vhd,hdl"
1099
VALUE "/../../lib/eth/core/greth_rx.vhd,hdl"
1100
VALUE "/../../lib/eth/core/grethc.vhd,hdl"
1101
VALUE "/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1102
VALUE "/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1103
VALUE "/../../lib/opencores/occomp/occomp.vhd,hdl"
1104
VALUE "/../../lib/opencores/can/cancomp.vhd,hdl"
1105
VALUE "/../../lib/opencores/can/can_top.vhd,hdl"
1106
VALUE "/../../lib/opencores/can/can_top_core_sync.vhd,hdl"
1107
VALUE "/../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd,hdl"
1108
VALUE "/../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd,hdl"
1109
VALUE "/../../lib/opencores/i2c/i2coc.vhd,hdl"
1110
VALUE "/../../lib/opencores/spi/simple_spi_top.v,hdl"
1111
VALUE "/../../lib/opencores/ata/ud_cnt.vhd,hdl"
1112
VALUE "/../../lib/opencores/ata/ro_cnt.vhd,hdl"
1113
VALUE "/../../lib/opencores/ata/atahost_dma_fifo.vhd,hdl"
1114
VALUE "/../../lib/opencores/ata/atahost_dma_actrl.vhd,hdl"
1115
VALUE "/../../lib/opencores/ata/atahost_dma_tctrl.vhd,hdl"
1116
VALUE "/../../lib/opencores/ata/atahost_pio_tctrl.vhd,hdl"
1117
VALUE "/../../lib/opencores/ata/atahost_pio_actrl.vhd,hdl"
1118
VALUE "/../../lib/opencores/ata/atahost_controller.vhd,hdl"
1119
VALUE "/../../lib/opencores/ata/atahost_pio_controller.vhd,hdl"
1120
VALUE "/../../lib/opencores/ata/ocidec2_controller.vhd,hdl"
1121
VALUE "/../../lib/opencores/ac97/ac97_top.v,hdl"
1122
VALUE "/../../lib/gaisler/arith/arith.vhd,hdl"
1123
VALUE "/../../lib/gaisler/arith/mul32.vhd,hdl"
1124
VALUE "/../../lib/gaisler/arith/div32.vhd,hdl"
1125
VALUE "/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1126
VALUE "/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1127
VALUE "/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1128
VALUE "/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1129
VALUE "/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1130
VALUE "/../../lib/gaisler/leon3/leon3.vhd,hdl"
1131
VALUE "/../../lib/gaisler/leon3/reg_zero.vhd,hdl"
1132
VALUE "/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1133
VALUE "/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1134
VALUE "/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1135
VALUE "/../../lib/gaisler/leon3/libiu.vhd,hdl"
1136
VALUE "/../../lib/gaisler/leon3/libcache.vhd,hdl"
1137
VALUE "/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1138
VALUE "/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1139
VALUE "/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1140
VALUE "/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1141
VALUE "/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1142
VALUE "/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1143
VALUE "/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1144
VALUE "/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1145
VALUE "/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1146
VALUE "/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1147
VALUE "/../../lib/gaisler/leon3/mmu.vhd,hdl"
1148
VALUE "/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1149
VALUE "/../../lib/gaisler/leon3/acache.vhd,hdl"
1150
VALUE "/../../lib/gaisler/leon3/dcache.vhd,hdl"
1151
VALUE "/../../lib/gaisler/leon3/icache.vhd,hdl"
1152
VALUE "/../../lib/gaisler/leon3/cache.vhd,hdl"
1153
VALUE "/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1154
VALUE "/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1155
VALUE "/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1156
VALUE "/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1157
VALUE "/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1158
VALUE "/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1159
VALUE "/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1160
VALUE "/../../lib/gaisler/leon3/proc3.vhd,hdl"
1161
VALUE "/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1162
VALUE "/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1163
VALUE "/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1164
VALUE "/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1165
VALUE "/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1166
VALUE "/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1167
VALUE "/../../lib/gaisler/leon3/my_mux.vhd,hdl"
1168
VALUE "/../../lib/gaisler/leon3/top.vhd,hdl"
1169
VALUE "/../../lib/gaisler/can/can.vhd,hdl"
1170
VALUE "/../../lib/gaisler/can/can_mod.vhd,hdl"
1171
VALUE "/../../lib/gaisler/can/can_oc.vhd,hdl"
1172
VALUE "/../../lib/gaisler/can/can_mc.vhd,hdl"
1173
VALUE "/../../lib/gaisler/can/canmux.vhd,hdl"
1174
VALUE "/../../lib/gaisler/can/can_rd.vhd,hdl"
1175
VALUE "/../../lib/gaisler/misc/misc.vhd,hdl"
1176
VALUE "/../../lib/gaisler/misc/rstgen.vhd,hdl"
1177
VALUE "/../../lib/gaisler/misc/gptimer.vhd,hdl"
1178
VALUE "/../../lib/gaisler/misc/ahbram.vhd,hdl"
1179
VALUE "/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1180
VALUE "/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1181
VALUE "/../../lib/gaisler/misc/grgpio.vhd,hdl"
1182
VALUE "/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1183
VALUE "/../../lib/gaisler/misc/logan.vhd,hdl"
1184
VALUE "/../../lib/gaisler/misc/apbps2.vhd,hdl"
1185
VALUE "/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1186
VALUE "/../../lib/gaisler/misc/charrom.vhd,hdl"
1187
VALUE "/../../lib/gaisler/misc/apbvga.vhd,hdl"
1188
VALUE "/../../lib/gaisler/misc/ahbdma.vhd,hdl"
1189
VALUE "/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1190
VALUE "/../../lib/gaisler/misc/i2cmst.vhd,hdl"
1191
VALUE "/../../lib/gaisler/misc/spictrl.vhd,hdl"
1192
VALUE "/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1193
VALUE "/../../lib/gaisler/misc/wild.vhd,hdl"
1194
VALUE "/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1195
VALUE "/../../lib/gaisler/net/net.vhd,hdl"
1196
VALUE "/../../lib/gaisler/uart/uart.vhd,hdl"
1197
VALUE "/../../lib/gaisler/uart/libdcom.vhd,hdl"
1198
VALUE "/../../lib/gaisler/uart/apbuart.vhd,hdl"
1199
VALUE "/../../lib/gaisler/uart/dcom.vhd,hdl"
1200
VALUE "/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1201
VALUE "/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1202
VALUE "/../../lib/gaisler/jtag/jtag.vhd,hdl"
1203
VALUE "/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1204
VALUE "/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1205
VALUE "/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1206
VALUE "/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1207
VALUE "/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1208
VALUE "/../../lib/gaisler/greth/greth.vhd,hdl"
1209
VALUE "/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1210
VALUE "/../../lib/gaisler/greth/grethm.vhd,hdl"
1211
VALUE "/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1212
VALUE "/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1213
VALUE "/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1214
VALUE "/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1215
VALUE "/../../lib/gaisler/usb/grusb.vhd,hdl"
1216
VALUE "/../../lib/gaisler/ata/ata.vhd,hdl"
1217
VALUE "/../../lib/gaisler/ata/ata_inf.vhd,hdl"
1218
VALUE "/../../lib/gaisler/ata/atahost_amba_slave.vhd,hdl"
1219
VALUE "/../../lib/gaisler/ata/atahost_ahbmst.vhd,hdl"
1220
VALUE "/../../lib/gaisler/ata/ocidec2_amba_slave.vhd,hdl"
1221
VALUE "/../../lib/gaisler/ata/atactrl_nodma.vhd,hdl"
1222
VALUE "/../../lib/gaisler/ata/atactrl_dma.vhd,hdl"
1223
VALUE "/../../lib/gaisler/ata/atactrl.vhd,hdl"
1224
VALUE "/../../lib/gaisler/vlog/decode_pipe1.v,hdl"
1225
VALUE "/../../lib/gaisler/vlog/EXEC_stage.v,hdl"
1226
VALUE "/../../lib/gaisler/vlog/ulit.v,hdl"
1227
VALUE "/../../lib/gaisler/vlog/ctl_fsm1.v,hdl"
1228
VALUE "/../../lib/gaisler/vlog/RF_stage1.v,hdl"
1229
VALUE "/../../lib/gaisler/vlog/RF_components1.v,hdl"
1230
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
1231
VALUE "/../../lib/gaisler/vlog/mips789_defs.v,hdl"
1232
VALUE "/../../lib/gaisler/vlog/hazard_unit.v,hdl"
1233
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
1234
VALUE "/../../lib/gaisler/vlog/core1.v,hdl"
1235
VALUE "/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1236
VALUE "/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1237
VALUE "/config.vhd,hdl"
1238
VALUE "/ahbrom.vhd,hdl"
1239
VALUE "/vga_clkgen.vhd,hdl"
1240
VALUE "/leon3mp.vhd,hdl"
1241
ENDFILELIST
1242
ENDLIST
1243
LIST "ideSIMULATION"
1244
USE_LIST=TRUE
1245
FILELIST
1246
VALUE "/../../lib/grlib/stdlib/version.vhd,hdl"
1247
VALUE "/../../lib/grlib/stdlib/stdlib.vhd,hdl"
1248
VALUE "/../../lib/grlib/stdlib/stdio.vhd,hdl"
1249
VALUE "/../../lib/grlib/util/util.vhd,hdl"
1250
VALUE "/../../lib/grlib/sparc/sparc.vhd,hdl"
1251
VALUE "/../../lib/grlib/sparc/sparc_disas.vhd,hdl"
1252
VALUE "/../../lib/grlib/sparc/cpu_disas.vhd,hdl"
1253
VALUE "/../../lib/grlib/modgen/multlib.vhd,hdl"
1254
VALUE "/../../lib/grlib/modgen/leaves.vhd,hdl"
1255
VALUE "/../../lib/grlib/amba/amba.vhd,hdl"
1256
VALUE "/../../lib/grlib/amba/devices.vhd,hdl"
1257
VALUE "/../../lib/grlib/amba/defmst.vhd,hdl"
1258
VALUE "/../../lib/grlib/amba/apbctrl.vhd,hdl"
1259
VALUE "/../../lib/grlib/amba/ahbctrl.vhd,hdl"
1260
VALUE "/../../lib/grlib/amba/dma2ahb_pkg.vhd,hdl"
1261
VALUE "/../../lib/grlib/amba/dma2ahb.vhd,hdl"
1262
VALUE "/../../lib/grlib/amba/dma2ahb_tp.vhd,hdl"
1263
VALUE "/../../lib/synplify/sim/synplify.vhd,hdl"
1264
VALUE "/../../lib/synplify/sim/synattr.vhd,hdl"
1265
VALUE "/../../lib/techmap/gencomp/gencomp.vhd,hdl"
1266
VALUE "/../../lib/techmap/gencomp/netcomp.vhd,hdl"
1267
VALUE "/../../lib/techmap/inferred/memory_inferred.vhd,hdl"
1268
VALUE "/../../lib/techmap/inferred/ddr_inferred.vhd,hdl"
1269
VALUE "/../../lib/techmap/inferred/mul_inferred.vhd,hdl"
1270
VALUE "/../../lib/techmap/inferred/ddr_phy_inferred.vhd,hdl"
1271
VALUE "/../../lib/techmap/maps/allclkgen.vhd,hdl"
1272
VALUE "/../../lib/techmap/maps/allddr.vhd,hdl"
1273
VALUE "/../../lib/techmap/maps/allmem.vhd,hdl"
1274
VALUE "/../../lib/techmap/maps/allpads.vhd,hdl"
1275
VALUE "/../../lib/techmap/maps/alltap.vhd,hdl"
1276
VALUE "/../../lib/techmap/maps/clkgen.vhd,hdl"
1277
VALUE "/../../lib/techmap/maps/clkmux.vhd,hdl"
1278
VALUE "/../../lib/techmap/maps/clkand.vhd,hdl"
1279
VALUE "/../../lib/techmap/maps/ddr_ireg.vhd,hdl"
1280
VALUE "/../../lib/techmap/maps/ddr_oreg.vhd,hdl"
1281
VALUE "/../../lib/techmap/maps/ddrphy.vhd,hdl"
1282
VALUE "/../../lib/techmap/maps/syncram.vhd,hdl"
1283
VALUE "/../../lib/techmap/maps/syncram64.vhd,hdl"
1284
VALUE "/../../lib/techmap/maps/syncram_2p.vhd,hdl"
1285
VALUE "/../../lib/techmap/maps/syncram_dp.vhd,hdl"
1286
VALUE "/../../lib/techmap/maps/syncfifo.vhd,hdl"
1287
VALUE "/../../lib/techmap/maps/regfile_3p.vhd,hdl"
1288
VALUE "/../../lib/techmap/maps/tap.vhd,hdl"
1289
VALUE "/../../lib/techmap/maps/techbuf.vhd,hdl"
1290
VALUE "/../../lib/techmap/maps/clkpad.vhd,hdl"
1291
VALUE "/../../lib/techmap/maps/clkpad_ds.vhd,hdl"
1292
VALUE "/../../lib/techmap/maps/inpad.vhd,hdl"
1293
VALUE "/../../lib/techmap/maps/inpad_ds.vhd,hdl"
1294
VALUE "/../../lib/techmap/maps/iodpad.vhd,hdl"
1295
VALUE "/../../lib/techmap/maps/iopad.vhd,hdl"
1296
VALUE "/../../lib/techmap/maps/iopad_ds.vhd,hdl"
1297
VALUE "/../../lib/techmap/maps/lvds_combo.vhd,hdl"
1298
VALUE "/../../lib/techmap/maps/odpad.vhd,hdl"
1299
VALUE "/../../lib/techmap/maps/outpad.vhd,hdl"
1300
VALUE "/../../lib/techmap/maps/outpad_ds.vhd,hdl"
1301
VALUE "/../../lib/techmap/maps/toutpad.vhd,hdl"
1302
VALUE "/../../lib/techmap/maps/skew_outpad.vhd,hdl"
1303
VALUE "/../../lib/techmap/maps/grspwc_net.vhd,hdl"
1304
VALUE "/../../lib/techmap/maps/grlfpw_net.vhd,hdl"
1305
VALUE "/../../lib/techmap/maps/grfpw_net.vhd,hdl"
1306
VALUE "/../../lib/techmap/maps/mul_61x61.vhd,hdl"
1307
VALUE "/../../lib/techmap/maps/cpu_disas_net.vhd,hdl"
1308
VALUE "/../../lib/techmap/maps/grusbhc_net.vhd,hdl"
1309
VALUE "/../../lib/techmap/maps/ringosc.vhd,hdl"
1310
VALUE "/../../lib/techmap/maps/ssrctrl_net.vhd,hdl"
1311
VALUE "/../../lib/spw/comp/spwcomp.vhd,hdl"
1312
VALUE "/../../lib/spw/wrapper/grspw_gen.vhd,hdl"
1313
VALUE "/../../lib/eth/comp/ethcomp.vhd,hdl"
1314
VALUE "/../../lib/eth/core/greth_pkg.vhd,hdl"
1315
VALUE "/../../lib/eth/core/eth_rstgen.vhd,hdl"
1316
VALUE "/../../lib/eth/core/eth_ahb_mst.vhd,hdl"
1317
VALUE "/../../lib/eth/core/greth_tx.vhd,hdl"
1318
VALUE "/../../lib/eth/core/greth_rx.vhd,hdl"
1319
VALUE "/../../lib/eth/core/grethc.vhd,hdl"
1320
VALUE "/../../lib/eth/wrapper/greth_gen.vhd,hdl"
1321
VALUE "/../../lib/eth/wrapper/greth_gbit_gen.vhd,hdl"
1322
VALUE "/../../lib/opencores/occomp/occomp.vhd,hdl"
1323
VALUE "/../../lib/opencores/can/cancomp.vhd,hdl"
1324
VALUE "/../../lib/opencores/can/can_top.vhd,hdl"
1325
VALUE "/../../lib/opencores/can/can_top_core_sync.vhd,hdl"
1326
VALUE "/../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd,hdl"
1327
VALUE "/../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd,hdl"
1328
VALUE "/../../lib/opencores/i2c/i2coc.vhd,hdl"
1329
VALUE "/../../lib/opencores/spi/simple_spi_top.v,hdl"
1330
VALUE "/../../lib/opencores/ata/ud_cnt.vhd,hdl"
1331
VALUE "/../../lib/opencores/ata/ro_cnt.vhd,hdl"
1332
VALUE "/../../lib/opencores/ata/atahost_dma_fifo.vhd,hdl"
1333
VALUE "/../../lib/opencores/ata/atahost_dma_actrl.vhd,hdl"
1334
VALUE "/../../lib/opencores/ata/atahost_dma_tctrl.vhd,hdl"
1335
VALUE "/../../lib/opencores/ata/atahost_pio_tctrl.vhd,hdl"
1336
VALUE "/../../lib/opencores/ata/atahost_pio_actrl.vhd,hdl"
1337
VALUE "/../../lib/opencores/ata/atahost_controller.vhd,hdl"
1338
VALUE "/../../lib/opencores/ata/atahost_pio_controller.vhd,hdl"
1339
VALUE "/../../lib/opencores/ata/ocidec2_controller.vhd,hdl"
1340
VALUE "/../../lib/opencores/ata/ata_device_oc.v,hdl"
1341
VALUE "/../../lib/opencores/ac97/ac97_top.v,hdl"
1342
VALUE "/../../lib/gaisler/arith/arith.vhd,hdl"
1343
VALUE "/../../lib/gaisler/arith/mul32.vhd,hdl"
1344
VALUE "/../../lib/gaisler/arith/div32.vhd,hdl"
1345
VALUE "/../../lib/gaisler/memctrl/memctrl.vhd,hdl"
1346
VALUE "/../../lib/gaisler/memctrl/sdctrl.vhd,hdl"
1347
VALUE "/../../lib/gaisler/memctrl/sdmctrl.vhd,hdl"
1348
VALUE "/../../lib/gaisler/memctrl/srctrl.vhd,hdl"
1349
VALUE "/../../lib/gaisler/memctrl/spimctrl.vhd,hdl"
1350
VALUE "/../../lib/gaisler/leon3/leon3.vhd,hdl"
1351
VALUE "/../../lib/gaisler/leon3/reg_zero.vhd,hdl"
1352
VALUE "/../../lib/gaisler/leon3/mmuconfig.vhd,hdl"
1353
VALUE "/../../lib/gaisler/leon3/mmuiface.vhd,hdl"
1354
VALUE "/../../lib/gaisler/leon3/libmmu.vhd,hdl"
1355
VALUE "/../../lib/gaisler/leon3/libiu.vhd,hdl"
1356
VALUE "/../../lib/gaisler/leon3/libcache.vhd,hdl"
1357
VALUE "/../../lib/gaisler/leon3/libproc3.vhd,hdl"
1358
VALUE "/../../lib/gaisler/leon3/cachemem.vhd,hdl"
1359
VALUE "/../../lib/gaisler/leon3/mmu_icache.vhd,hdl"
1360
VALUE "/../../lib/gaisler/leon3/mmu_dcache.vhd,hdl"
1361
VALUE "/../../lib/gaisler/leon3/mmu_acache.vhd,hdl"
1362
VALUE "/../../lib/gaisler/leon3/mmutlbcam.vhd,hdl"
1363
VALUE "/../../lib/gaisler/leon3/mmulrue.vhd,hdl"
1364
VALUE "/../../lib/gaisler/leon3/mmulru.vhd,hdl"
1365
VALUE "/../../lib/gaisler/leon3/mmutlb.vhd,hdl"
1366
VALUE "/../../lib/gaisler/leon3/mmutw.vhd,hdl"
1367
VALUE "/../../lib/gaisler/leon3/mmu.vhd,hdl"
1368
VALUE "/../../lib/gaisler/leon3/mmu_cache.vhd,hdl"
1369
VALUE "/../../lib/gaisler/leon3/acache.vhd,hdl"
1370
VALUE "/../../lib/gaisler/leon3/dcache.vhd,hdl"
1371
VALUE "/../../lib/gaisler/leon3/icache.vhd,hdl"
1372
VALUE "/../../lib/gaisler/leon3/cache.vhd,hdl"
1373
VALUE "/../../lib/gaisler/leon3/cpu_disasx.vhd,hdl"
1374
VALUE "/../../lib/gaisler/leon3/grfpwx.vhd,hdl"
1375
VALUE "/../../lib/gaisler/leon3/mfpwx.vhd,hdl"
1376
VALUE "/../../lib/gaisler/leon3/grlfpwx.vhd,hdl"
1377
VALUE "/../../lib/gaisler/leon3/tbufmem.vhd,hdl"
1378
VALUE "/../../lib/gaisler/leon3/dsu3x.vhd,hdl"
1379
VALUE "/../../lib/gaisler/leon3/dsu3.vhd,hdl"
1380
VALUE "/../../lib/gaisler/leon3/proc3.vhd,hdl"
1381
VALUE "/../../lib/gaisler/leon3/leon3s.vhd,hdl"
1382
VALUE "/../../lib/gaisler/leon3/leon3cg.vhd,hdl"
1383
VALUE "/../../lib/gaisler/leon3/irqmp.vhd,hdl"
1384
VALUE "/../../lib/gaisler/leon3/grfpwxsh.vhd,hdl"
1385
VALUE "/../../lib/gaisler/leon3/grfpushwx.vhd,hdl"
1386
VALUE "/../../lib/gaisler/leon3/leon3sh.vhd,hdl"
1387
VALUE "/../../lib/gaisler/leon3/my_mux.vhd,hdl"
1388
VALUE "/../../lib/gaisler/leon3/top.vhd,hdl"
1389
VALUE "/../../lib/gaisler/can/can.vhd,hdl"
1390
VALUE "/../../lib/gaisler/can/can_mod.vhd,hdl"
1391
VALUE "/../../lib/gaisler/can/can_oc.vhd,hdl"
1392
VALUE "/../../lib/gaisler/can/can_mc.vhd,hdl"
1393
VALUE "/../../lib/gaisler/can/canmux.vhd,hdl"
1394
VALUE "/../../lib/gaisler/can/can_rd.vhd,hdl"
1395
VALUE "/../../lib/gaisler/misc/misc.vhd,hdl"
1396
VALUE "/../../lib/gaisler/misc/rstgen.vhd,hdl"
1397
VALUE "/../../lib/gaisler/misc/gptimer.vhd,hdl"
1398
VALUE "/../../lib/gaisler/misc/ahbram.vhd,hdl"
1399
VALUE "/../../lib/gaisler/misc/ahbtrace.vhd,hdl"
1400
VALUE "/../../lib/gaisler/misc/ahbmst.vhd,hdl"
1401
VALUE "/../../lib/gaisler/misc/grgpio.vhd,hdl"
1402
VALUE "/../../lib/gaisler/misc/ahbstat.vhd,hdl"
1403
VALUE "/../../lib/gaisler/misc/logan.vhd,hdl"
1404
VALUE "/../../lib/gaisler/misc/apbps2.vhd,hdl"
1405
VALUE "/../../lib/gaisler/misc/charrom_package.vhd,hdl"
1406
VALUE "/../../lib/gaisler/misc/charrom.vhd,hdl"
1407
VALUE "/../../lib/gaisler/misc/apbvga.vhd,hdl"
1408
VALUE "/../../lib/gaisler/misc/ahbdma.vhd,hdl"
1409
VALUE "/../../lib/gaisler/misc/svgactrl.vhd,hdl"
1410
VALUE "/../../lib/gaisler/misc/i2cmst.vhd,hdl"
1411
VALUE "/../../lib/gaisler/misc/spictrl.vhd,hdl"
1412
VALUE "/../../lib/gaisler/misc/i2cslv.vhd,hdl"
1413
VALUE "/../../lib/gaisler/misc/wild.vhd,hdl"
1414
VALUE "/../../lib/gaisler/misc/wild2ahb.vhd,hdl"
1415
VALUE "/../../lib/gaisler/net/net.vhd,hdl"
1416
VALUE "/../../lib/gaisler/uart/uart.vhd,hdl"
1417
VALUE "/../../lib/gaisler/uart/libdcom.vhd,hdl"
1418
VALUE "/../../lib/gaisler/uart/apbuart.vhd,hdl"
1419
VALUE "/../../lib/gaisler/uart/dcom.vhd,hdl"
1420
VALUE "/../../lib/gaisler/uart/dcom_uart.vhd,hdl"
1421
VALUE "/../../lib/gaisler/uart/ahbuart.vhd,hdl"
1422
VALUE "/../../lib/gaisler/sim/i2c_slave_model.v,hdl"
1423
VALUE "/../../lib/gaisler/sim/sim.vhd,hdl"
1424
VALUE "/../../lib/gaisler/sim/sram.vhd,hdl"
1425
VALUE "/../../lib/gaisler/sim/ata_device.vhd,hdl"
1426
VALUE "/../../lib/gaisler/sim/sram16.vhd,hdl"
1427
VALUE "/../../lib/gaisler/sim/phy.vhd,hdl"
1428
VALUE "/../../lib/gaisler/sim/ahbrep.vhd,hdl"
1429
VALUE "/../../lib/gaisler/jtag/jtag.vhd,hdl"
1430
VALUE "/../../lib/gaisler/jtag/libjtagcom.vhd,hdl"
1431
VALUE "/../../lib/gaisler/jtag/jtagcom.vhd,hdl"
1432
VALUE "/../../lib/gaisler/jtag/ahbjtag.vhd,hdl"
1433
VALUE "/../../lib/gaisler/jtag/ahbjtag_bsd.vhd,hdl"
1434
VALUE "/../../lib/gaisler/jtag/jtagtst.vhd,hdl"
1435
VALUE "/../../lib/gaisler/greth/ethernet_mac.vhd,hdl"
1436
VALUE "/../../lib/gaisler/greth/greth.vhd,hdl"
1437
VALUE "/../../lib/gaisler/greth/greth_gbit.vhd,hdl"
1438
VALUE "/../../lib/gaisler/greth/grethm.vhd,hdl"
1439
VALUE "/../../lib/gaisler/spacewire/spacewire.vhd,hdl"
1440
VALUE "/../../lib/gaisler/spacewire/grspw.vhd,hdl"
1441
VALUE "/../../lib/gaisler/spacewire/grspw2.vhd,hdl"
1442
VALUE "/../../lib/gaisler/spacewire/grspwm.vhd,hdl"
1443
VALUE "/../../lib/gaisler/usb/grusb.vhd,hdl"
1444
VALUE "/../../lib/gaisler/ata/ata.vhd,hdl"
1445
VALUE "/../../lib/gaisler/ata/ata_inf.vhd,hdl"
1446
VALUE "/../../lib/gaisler/ata/atahost_amba_slave.vhd,hdl"
1447
VALUE "/../../lib/gaisler/ata/atahost_ahbmst.vhd,hdl"
1448
VALUE "/../../lib/gaisler/ata/ocidec2_amba_slave.vhd,hdl"
1449
VALUE "/../../lib/gaisler/ata/atactrl_nodma.vhd,hdl"
1450
VALUE "/../../lib/gaisler/ata/atactrl_dma.vhd,hdl"
1451
VALUE "/../../lib/gaisler/ata/atactrl.vhd,hdl"
1452
VALUE "/../../lib/gaisler/vlog/decode_pipe1.v,hdl"
1453
VALUE "/../../lib/gaisler/vlog/EXEC_stage.v,hdl"
1454
VALUE "/../../lib/gaisler/vlog/ulit.v,hdl"
1455
VALUE "/../../lib/gaisler/vlog/ctl_fsm1.v,hdl"
1456
VALUE "/../../lib/gaisler/vlog/RF_stage1.v,hdl"
1457
VALUE "/../../lib/gaisler/vlog/RF_components1.v,hdl"
1458
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
1459
VALUE "/../../lib/gaisler/vlog/mips789_defs.v,hdl"
1460
VALUE "/../../lib/gaisler/vlog/hazard_unit.v,hdl"
1461
VALUE "/../../lib/gaisler/vlog/forward.v,hdl"
1462
VALUE "/../../lib/gaisler/vlog/core1.v,hdl"
1463
VALUE "/../../lib/esa/memoryctrl/memoryctrl.vhd,hdl"
1464
VALUE "/../../lib/esa/memoryctrl/mctrl.vhd,hdl"
1465
VALUE "/../../lib/micron/sdram/mobile_sdr.v,hdl"
1466
VALUE "/../../lib/micron/sdram/components.vhd,hdl"
1467
VALUE "/../../lib/micron/sdram/mt48lc16m16a2.vhd,hdl"
1468
VALUE "/../../lib/work/debug/debug.vhd,hdl"
1469
VALUE "/../../lib/work/debug/grtestmod.vhd,hdl"
1470
VALUE "/../../lib/work/debug/cpu_disas.vhd,hdl"
1471
VALUE "/config.vhd,hdl"
1472
VALUE "/ahbrom.vhd,hdl"
1473
VALUE "/vga_clkgen.vhd,hdl"
1474
VALUE "/leon3mp.vhd,hdl"
1475
VALUE "/testbench.vhd,hdl"
1476
ENDFILELIST
1477
ENDLIST
1478
ENDLIST
1479
ENDLIST

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.