OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [micron/] [mobile_sdr/] [_primary.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library verilog;
2
use verilog.vl_types.all;
3
entity mobile_sdr is
4
    generic(
5
        tCK             : integer := 6000;
6
        tCK3_min        : integer := 6000;
7
        tCK2_min        : integer := 9600;
8
        tCK1_min        : integer := 0;
9
        tAC3            : integer := 5000;
10
        tAC2            : integer := 8000;
11
        tAC1            : integer := 0;
12
        tHZ3            : integer := 5000;
13
        tHZ2            : integer := 8000;
14
        tHZ1            : integer := 0;
15
        tOH             : integer := 2500;
16
        tMRD            : integer := 2;
17
        tRAS            : integer := 42000;
18
        tRC             : integer := 60000;
19
        tRFC            : integer := 97500;
20
        tRCD            : integer := 18000;
21
        tRP             : integer := 18000;
22
        tRRD            : integer := 2;
23
        tWRa            : integer := 7500;
24
        tWRm            : integer := 15000;
25
        tCH             : integer := 2600;
26
        tCL             : integer := 2600;
27
        tXSR            : integer := 120000;
28
        ADDR_BITS       : integer := 13;
29
        ROW_BITS        : integer := 13;
30
        DQ_BITS         : integer := 16;
31
        DM_BITS         : integer := 2;
32
        COL_BITS        : integer := 10;
33
        BA_BITS         : integer := 2;
34
        part_mem_bits   : integer := 10;
35
        part_size       : integer := 256;
36
        NOP             : integer := 39;
37
        ACTIVATE        : integer := 35;
38
        READ            : integer := 37;
39
        READ_AP         : integer := 53;
40
        READ_SUSPEND    : integer := 5;
41
        READ_AP_SUSPEND : integer := 21;
42
        WRITE           : integer := 36;
43
        WRITE_AP        : integer := 52;
44
        WRITE_SUSPEND   : integer := 4;
45
        WRITE_AP_SUSPEND: integer := 20;
46
        BURST_TERMINATE : integer := 38;
47
        POWER_DOWN_CI   : integer := 15;
48
        POWER_DOWN_NOP  : integer := 7;
49
        DEEP_POWER_DOWN : integer := 6;
50
        PRECHARGE       : integer := 34;
51
        PRECHARGE_ALL   : integer := 50;
52
        AUTO_REFRESH    : integer := 33;
53
        SELF_REFRESH    : integer := 1;
54
        LOAD_MODE       : integer := 32;
55
        CKE_DISABLE     : integer := 31;
56
        DEBUG           : integer := 1;
57
        ERR_MAX_REPORTED: integer := -1;
58
        ERR_MAX         : integer := -1;
59
        MSGLENGTH       : integer := 256;
60
        ERR_CODES       : integer := 16;
61
        ERR_MISC        : integer := 1;
62
        ERR_CMD         : integer := 2;
63
        ERR_STATUS      : integer := 3;
64
        ERR_tMRD        : integer := 4;
65
        ERR_tRAS        : integer := 5;
66
        ERR_tRC         : integer := 6;
67
        ERR_tRFC        : integer := 7;
68
        ERR_tRCD        : integer := 8;
69
        ERR_tRP         : integer := 9;
70
        ERR_tRRD        : integer := 11;
71
        ERR_tWR         : integer := 12;
72
        ERR_tCH         : integer := 13;
73
        ERR_tCL         : integer := 14;
74
        ERR_tXSR        : integer := 15;
75
        ERR_tCK_MIN     : integer := 16
76
    );
77
    port(
78
        clk             : in     vl_logic;
79
        cke             : in     vl_logic;
80
        addr            : in     vl_logic_vector;
81
        ba              : in     vl_logic_vector;
82
        cs_n            : in     vl_logic;
83
        ras_n           : in     vl_logic;
84
        cas_n           : in     vl_logic;
85
        we_n            : in     vl_logic;
86
        dq              : inout  vl_logic_vector;
87
        dqm             : in     vl_logic_vector
88
    );
89
end mobile_sdr;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.