OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [testbench.cr.mti] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
../../lib/gaisler/leon3/mmulrue.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulrue.vhd
2
 
3
} {} {}} ../../lib/grlib/amba/ahbctrl.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd
4
 
5
} {} {}} ../../lib/gaisler/jtag/jtagcom.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagcom.vhd
6
 
7
} {} {}} ../../lib/gaisler/greth/greth.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth.vhd
8
 
9
} {} {}} ../../lib/gaisler/leon3/top.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/top.vhd
10
 
11
} {} {}} ../../lib/grlib/stdlib/stdlib.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd
12
 
13
} {} {}} ../../lib/grlib/sparc/sparc_disas.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/sparc/sparc_disas.vhd
14
 
15
} {} {}} ../../lib/tech/unisim/simprims/xilinx_mem.vhd {1 {vcom -work unisim -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/tech/unisim/simprims/xilinx_mem.vhd
16
 
17
} {} {}} ../../lib/gaisler/leon3/grfpwx.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grfpwx.vhd
18
 
19
} {} {}} ../../lib/gaisler/misc/i2cslv.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/i2cslv.vhd
20
 
21
} {} {}} ../../lib/gaisler/arith/mul32.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/mul32.vhd
22
 
23
} {} {}} ../../lib/gaisler/misc/charrom_package.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom_package.vhd
24
 
25
} {} {}} ../../lib/grlib/sparc/sparc.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/sparc/sparc.vhd
26
 
27
} {} {}} ../../lib/gaisler/spacewire/grspwm.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspwm.vhd
28
 
29
} {} {}} ../../lib/gaisler/misc/apbps2.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbps2.vhd
30
 
31
} {} {}} ../../lib/techmap/maps/regfile_3p.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd
32
 
33
} {} {}} ../../lib/opencores/ata/atahost_dma_fifo.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_fifo.vhd
34
 
35
} {} {}} ../../lib/gaisler/uart/libdcom.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/libdcom.vhd
36
 
37
} {} {}} ../../lib/gaisler/leon3/acache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/acache.vhd
38
 
39
} {} {}} ../../lib/grlib/stdlib/stdio.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdio.vhd
40
 
41
} {} {}} ../../lib/techmap/unisim/ddr_phy_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/ddr_phy_unisim.vhd
42
 
43
} {} {}} ../../lib/micron/sdram/components.vhd {1 {vcom -work micron -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/micron/sdram/components.vhd
44
 
45
} {} {}} ../../lib/gaisler/jtag/jtagtst.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagtst.vhd
46
 
47
} {} {}} ../../lib/gaisler/leon3/my_mux.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/my_mux.vhd
48
 
49
} {} {}} ../../lib/eth/comp/ethcomp.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/comp/ethcomp.vhd
50
 
51
} {} {}} ../../lib/techmap/maps/syncram64.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd
52
 
53
} {} {}} ../../lib/gaisler/uart/ahbuart.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/ahbuart.vhd
54
 
55
} {} {}} ../../lib/gaisler/can/can.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can.vhd
56
 
57
} {} {}} ../../lib/gaisler/sim/sram.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/sram.vhd
58
 
59
} {} {}} ../../lib/techmap/maps/allpads.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allpads.vhd
60
 
61
} {} {}} ../../lib/gaisler/uart/dcom.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom.vhd
62
 
63
} {} {}} ../../lib/techmap/dw02/mul_dw_gen.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/dw02/mul_dw_gen.vhd
64
 
65
} {} {}} ../../lib/gaisler/vlog/ulit.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/ulit.v
66
 
67
} {} {}} ../../lib/gaisler/ata/atactrl_dma.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_dma.vhd
68
 
69
} {} {}} ../../lib/grlib/amba/defmst.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/defmst.vhd
70
 
71
} {} {}} ../../lib/gaisler/can/canmux.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/canmux.vhd
72
 
73
} {} {}} ../../lib/gaisler/misc/ahbram.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbram.vhd
74
 
75
} {} {}} ../../lib/gaisler/jtag/ahbjtag_bsd.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag_bsd.vhd
76
 
77
} {} {}} ../../lib/eth/core/grethc.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/grethc.vhd
78
 
79
} {} {}} ../../lib/techmap/maps/ringosc.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/ringosc.vhd
80
 
81
} {} {}} ../../lib/eth/wrapper/greth_gbit_gen.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/wrapper/greth_gbit_gen.vhd
82
 
83
} {} {}} ../../lib/techmap/maps/inpad_ds.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd
84
 
85
} {} {}} ../../lib/techmap/inferred/mul_inferred.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/mul_inferred.vhd
86
 
87
} {} {}} ../../lib/gaisler/jtag/ahbjtag.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag.vhd
88
 
89
} {} {}} ../../lib/gaisler/vlog/RF_stage1.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_stage1.v
90
 
91
} {} {}} ../../lib/work/debug/debug.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/work/debug/debug.vhd
92
 
93
} {} {}} ../../lib/techmap/unisim/pads_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd
94
 
95
} {} {}} ../../lib/gaisler/vlog/decode_pipe1.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/decode_pipe1.v
96
 
97
} {} {}} ../../lib/techmap/maps/outpad_ds.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd
98
 
99
} {} {}} ../../lib/gaisler/misc/rstgen.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/rstgen.vhd
100
 
101
} {} {}} ../../lib/gaisler/ata/ata_inf.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata_inf.vhd
102
 
103
} {} {}} ../../lib/gaisler/greth/ethernet_mac.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/ethernet_mac.vhd
104
 
105
} {} {}} ../../lib/opencores/can/cancomp.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/cancomp.vhd
106
 
107
} {} {}} ../../lib/grlib/modgen/multlib.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/modgen/multlib.vhd
108
 
109
} {} {}} ../../lib/opencores/i2c/i2coc.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/i2c/i2coc.vhd
110
 
111
} {} {}} ../../lib/work/debug/cpu_disas.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/work/debug/cpu_disas.vhd
112
 
113
} {} {}} ../../lib/opencores/ata/ocidec2_controller.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ocidec2_controller.vhd
114
 
115
} {} {}} ../../lib/gaisler/leon3/mmutlb.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlb.vhd
116
 
117
} {} {}} ../../lib/work/debug/grtestmod.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/work/debug/grtestmod.vhd
118
 
119
} {} {}} ../../lib/gaisler/misc/ahbtrace.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbtrace.vhd
120
 
121
} {} {}} ../../lib/gaisler/leon3/mmu.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu.vhd
122
 
123
} {} {}} ../../lib/gaisler/leon3/dsu3x.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3x.vhd
124
 
125
} {} {}} ../../lib/grlib/stdlib/version.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/version.vhd
126
 
127
} {} {}} ../../lib/techmap/maps/iopad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd
128
 
129
} {} {}} ../../lib/techmap/unisim/grfpw_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd
130
 
131
} {} {}} ../../lib/techmap/maps/ddr_oreg.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/ddr_oreg.vhd
132
 
133
} {} {}} ../../lib/gaisler/sim/ahbrep.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/ahbrep.vhd
134
 
135
} {} {}} ../../lib/gaisler/spacewire/grspw.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw.vhd
136
 
137
} {} {}} ../../lib/gaisler/memctrl/srctrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/srctrl.vhd
138
 
139
} {} {}} ../../lib/gaisler/ata/ocidec2_amba_slave.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ocidec2_amba_slave.vhd
140
 
141
} {} {}} ../../lib/grlib/amba/apbctrl.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd
142
 
143
} {} {}} ../../lib/opencores/ata/atahost_dma_tctrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_tctrl.vhd
144
 
145
} {} {}} ../../lib/spw/comp/spwcomp.vhd {1 {vcom -work spw -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/spw/comp/spwcomp.vhd
146
 
147
} {} {}} ../../lib/gaisler/leon3/grlfpwx.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grlfpwx.vhd
148
 
149
} {} {}} ../../lib/gaisler/leon3/cpu_disasx.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cpu_disasx.vhd
150
 
151
} {} {}} ../../lib/gaisler/misc/ahbdma.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbdma.vhd
152
 
153
} {} {}} ../../lib/opencores/can/can_top.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/can_top.vhd
154
 
155
} {} {}} ../../lib/gaisler/misc/apbvga.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbvga.vhd
156
 
157
} {} {}} ../../lib/esa/memoryctrl/memoryctrl.vhd {1 {vcom -work esa -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/memoryctrl.vhd
158
 
159
} {} {}} ../../lib/techmap/unisim/buffer_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd
160
 
161
} {} {}} ../../lib/gaisler/leon3/icache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd
162
 
163
} {} {}} testbench.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/testbench.vhd
164
 
165
} {} {}} ../../lib/gaisler/vlog/forward.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/forward.v
166
 
167
} {} {}} ../../lib/techmap/inferred/memory_inferred.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd
168
 
169
} {} {}} ../../lib/gaisler/uart/apbuart.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/apbuart.vhd
170
 
171
} {} {}} ../../lib/gaisler/sim/i2c_slave_model.v {1 {vlog -work gaisler -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/i2c_slave_model.v
172
 
173
} {} {}} ../../lib/gaisler/leon3/libproc3.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libproc3.vhd
174
 
175
} {} {}} ../../lib/techmap/maps/grusbhc_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grusbhc_net.vhd
176
 
177
} {} {}} ../../lib/opencores/ata/atahost_pio_tctrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_tctrl.vhd
178
 
179
} {} {}} ../../lib/gaisler/can/can_rd.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_rd.vhd
180
 
181
} {} {}} ../../lib/esa/memoryctrl/mctrl.vhd {1 {vcom -work esa -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd
182
 
183
} {} {}} ../../lib/gaisler/misc/grgpio.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/grgpio.vhd
184
 
185
} {} {}} ../../lib/gaisler/misc/spictrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/spictrl.vhd
186
 
187
} {} {}} ../../lib/gaisler/spacewire/spacewire.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/spacewire.vhd
188
 
189
} {} {}} ../../lib/eth/core/greth_rx.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_rx.vhd
190
 
191
} {} {}} ../../lib/gaisler/leon3/mmu_dcache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_dcache.vhd
192
 
193
} {} {}} ../../lib/techmap/maps/syncram_2p.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd
194
 
195
} {} {}} ../../lib/techmap/maps/ddr_ireg.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/ddr_ireg.vhd
196
 
197
} {} {}} ../../lib/techmap/maps/syncram_dp.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd
198
 
199
} {} {}} ../../lib/grlib/util/util.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/util/util.vhd
200
 
201
} {} {}} ../../lib/gaisler/leon3/libiu.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libiu.vhd
202
 
203
} {} {}} ../../lib/opencores/occomp/occomp.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/occomp/occomp.vhd
204
 
205
} {} {}} ../../lib/techmap/gencomp/netcomp.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/netcomp.vhd
206
 
207
} {} {}} ../../lib/gaisler/can/can_mc.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mc.vhd
208
 
209
} {} {}} ../../lib/tech/unisim/vcomponents/xilinx_vcomponents.vhd {1 {vcom -work unisim -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/tech/unisim/vcomponents/xilinx_vcomponents.vhd
210
 
211
} {} {}} ../../lib/techmap/maps/syncfifo.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncfifo.vhd
212
 
213
} {} {}} ../../lib/opencores/ata/atahost_dma_actrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_actrl.vhd
214
 
215
} {} {}} ../../lib/techmap/maps/clkmux.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkmux.vhd
216
 
217
} {} {}} ../../lib/gaisler/leon3/proc3.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd
218
 
219
} {} {}} ../../lib/techmap/maps/outpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd
220
 
221
} {} {}} ../../lib/tech/dw02/comp/DW02_components.vhd {1 {vcom -work dw02 -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/tech/dw02/comp/DW02_components.vhd
222
 
223
} {} {}} ../../lib/grlib/amba/amba.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/amba.vhd
224
 
225
} {} {}} ../../lib/gaisler/leon3/libcache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libcache.vhd
226
 
227
} {} {}} ../../lib/gaisler/leon3/leon3s.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd
228
 
229
} {} {}} ../../lib/gaisler/misc/logan.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/logan.vhd
230
 
231
} {} {}} ../../lib/gaisler/arith/div32.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/div32.vhd
232
 
233
} {} {}} ../../lib/opencores/ata/ro_cnt.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ro_cnt.vhd
234
 
235
} {} {}} ../../lib/techmap/maps/clkpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd
236
 
237
} {} {}} ../../lib/gaisler/misc/ahbstat.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbstat.vhd
238
 
239
} {} {}} ../../lib/opencores/ac97/ac97_top.v {1 {vlog -work opencores -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/opencores/ac97/ac97_top.v
240
 
241
} {} {}} ../../lib/opencores/ata/ata_device_oc.v {1 {vlog -work opencores -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ata_device_oc.v
242
 
243
} {} {}} ../../lib/eth/core/eth_ahb_mst.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_ahb_mst.vhd
244
 
245
} {} {}} ../../lib/gaisler/leon3/irqmp.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd
246
 
247
} {} {}} ../../lib/micron/sdram/mobile_sdr.v {1 {vlog -work micron -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/micron/sdram/mobile_sdr.v
248
 
249
} {} {}} ../../lib/gaisler/ata/ata.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata.vhd
250
 
251
} {} {}} ../../lib/techmap/maps/grlfpw_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd
252
 
253
} {} {}} ../../lib/gaisler/leon3/mmu_acache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd
254
 
255
} {} {}} ../../lib/gaisler/misc/wild.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/wild.vhd
256
 
257
} {} {}} ../../lib/opencores/ata/atahost_pio_actrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_actrl.vhd
258
 
259
} {} {}} ../../lib/techmap/maps/grfpw_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd
260
 
261
} {} {}} ../../lib/techmap/unisim/ssrctrl_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/ssrctrl_unisim.vhd
262
 
263
} {} {}} ../../lib/techmap/unisim/tap_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd
264
 
265
} {} {}} ../../lib/opencores/ata/ud_cnt.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ud_cnt.vhd
266
 
267
} {} {}} ../../lib/gaisler/leon3/leon3.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3.vhd
268
 
269
} {} {}} ../../lib/gaisler/leon3/mfpwx.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mfpwx.vhd
270
 
271
} {} {}} ../../lib/tech/unisim/simprims/xilinx_simprims.vhd {1 {vcom -work unisim -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/tech/unisim/simprims/xilinx_simprims.vhd
272
 
273
} {} {}} ../../lib/grlib/amba/dma2ahb_tp.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/dma2ahb_tp.vhd
274
 
275
} {} {}} ../../lib/techmap/maps/odpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd
276
 
277
} {} {}} ../../lib/gaisler/vlog/ctl_fsm1.v {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/testbench.vhd
278
 
279
} {} {}} ../../lib/grlib/amba/devices.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/devices.vhd
280
 
281
} {} {}} ../../lib/techmap/maps/toutpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/toutpad.vhd
282
 
283
} {} {}} ../../lib/gaisler/leon3/cache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd
284
 
285
} {} {}} ../../lib/techmap/maps/syncram.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd
286
 
287
} {} {}} ../../lib/techmap/maps/skew_outpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/skew_outpad.vhd
288
 
289
} {} {}} ../../lib/gaisler/leon3/mmulru.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulru.vhd
290
 
291
} {} {}} ../../lib/gaisler/usb/grusb.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/usb/grusb.vhd
292
 
293
} {} {}} ../../lib/opencores/spi/simple_spi_top.v {1 {vlog -work opencores -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/opencores/spi/simple_spi_top.v
294
 
295
} {} {}} vga_clkgen.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/vga_clkgen.vhd
296
 
297
} {} {}} ../../lib/spw/wrapper/grspw_gen.vhd {1 {vcom -work spw -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/spw/wrapper/grspw_gen.vhd
298
 
299
} {} {}} ../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/i2c/i2c_master_bit_ctrl.vhd
300
 
301
} {} {}} ../../lib/gaisler/arith/arith.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/arith.vhd
302
 
303
} {} {}} ../../lib/gaisler/uart/uart.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/uart.vhd
304
 
305
} {} {}} ../../lib/gaisler/greth/greth_gbit.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth_gbit.vhd
306
 
307
} {} {}} ../../lib/techmap/unisim/grspwc_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd
308
 
309
} {} {}} ../../lib/gaisler/misc/svgactrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/svgactrl.vhd
310
 
311
} {} {}} ../../lib/opencores/ata/atahost_pio_controller.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_controller.vhd
312
 
313
} {} {}} ../../lib/gaisler/leon3/mmu_cache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_cache.vhd
314
 
315
} {} {}} ../../lib/gaisler/leon3/leon3cg.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3cg.vhd
316
 
317
} {} {}} ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/i2c/i2c_master_byte_ctrl.vhd
318
 
319
} {} {}} ../../lib/eth/core/eth_rstgen.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_rstgen.vhd
320
 
321
} {} {}} ../../lib/gaisler/memctrl/memctrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/memctrl.vhd
322
 
323
} {} {}} ../../lib/techmap/maps/clkpad_ds.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad_ds.vhd
324
 
325
} {} {}} ../../lib/opencores/can/can_top_core_sync.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/can_top_core_sync.vhd
326
 
327
} {} {}} ../../lib/techmap/maps/iodpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iodpad.vhd
328
 
329
} {} {}} ../../lib/techmap/maps/mul_61x61.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/mul_61x61.vhd
330
 
331
} {} {}} ../../lib/micron/sdram/mt48lc16m16a2.vhd {1 {vcom -work micron -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/micron/sdram/mt48lc16m16a2.vhd
332
 
333
} {} {}} ../../lib/gaisler/misc/ahbmst.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd
334
 
335
} {} {}} ../../lib/techmap/unisim/grusbhc_unisimpkg.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grusbhc_unisimpkg.vhd
336
 
337
} {} {}} ../../lib/gaisler/vlog/mips789_defs.v {1 {vlog -work gaisler -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/mips789_defs.v
338
 
339
} {} {}} ../../lib/gaisler/leon3/mmuconfig.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuconfig.vhd
340
 
341
} {} {}} ../../lib/techmap/maps/iopad_ds.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad_ds.vhd
342
 
343
} {} {}} ../../lib/techmap/maps/ssrctrl_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/ssrctrl_net.vhd
344
 
345
} {} {}} ../../lib/eth/core/greth_tx.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_tx.vhd
346
 
347
} {} {}} ../../lib/gaisler/memctrl/sdctrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdctrl.vhd
348
 
349
} {} {}} ../../lib/gaisler/greth/grethm.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/grethm.vhd
350
 
351
} {} {}} ../../lib/techmap/maps/alltap.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/alltap.vhd
352
 
353
} {} {}} ../../lib/grlib/modgen/leaves.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/modgen/leaves.vhd
354
 
355
} {} {}} ../../lib/eth/wrapper/greth_gen.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/wrapper/greth_gen.vhd
356
 
357
} {} {}} ../../lib/gaisler/misc/i2cmst.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/i2cmst.vhd
358
 
359
} {} {}} ../../lib/gaisler/net/net.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/net/net.vhd
360
 
361
} {} {}} ../../lib/gaisler/leon3/dsu3.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3.vhd
362
 
363
} {} {}} ../../lib/gaisler/leon3/tbufmem.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/tbufmem.vhd
364
 
365
} {} {}} ../../lib/gaisler/vlog/RF_components1.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/RF_components1.v
366
 
367
} {} {}} ../../lib/gaisler/jtag/jtag.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtag.vhd
368
 
369
} {} {}} ../../lib/techmap/maps/lvds_combo.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/lvds_combo.vhd
370
 
371
} {} {}} ../../lib/opencores/ata/atahost_controller.vhd {1 {vcom -work opencores -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_controller.vhd
372
 
373
} {} {}} ../../lib/techmap/maps/techbuf.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd
374
 
375
} {} {}} ../../lib/gaisler/memctrl/sdmctrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd
376
 
377
} {} {}} ../../lib/gaisler/can/can_oc.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_oc.vhd
378
 
379
} {} {}} ../../lib/synplify/sim/synplify.vhd {1 {vcom -work synplify -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/synplify/sim/synplify.vhd
380
 
381
} {} {}} ../../lib/gaisler/ata/atahost_ahbmst.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_ahbmst.vhd
382
 
383
} {} {}} ../../lib/techmap/maps/inpad.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd
384
 
385
} {} {}} ../../lib/gaisler/uart/dcom_uart.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom_uart.vhd
386
 
387
} {} {}} ../../lib/gaisler/leon3/mmutlbcam.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlbcam.vhd
388
 
389
} {} {}} ../../lib/gaisler/vlog/core1.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/core1.v
390
 
391
} {} {}} ../../lib/gaisler/leon3/reg_zero.vhd {2 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd
392
###### C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd(26):         we_o1<='1' after 0ns,'0' after 200ns;
393
 
394
** Warning: [4] C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd(26): (vcom-1207) An abstract literal and an identifier must have a separator between them.
395
** Warning: [4] C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd(26): (vcom-1207) An abstract literal and an identifier must have a separator between them.
396
 
397
} {} {}} ../../lib/gaisler/ata/atactrl_nodma.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_nodma.vhd
398
 
399
} {} {}} ../../lib/gaisler/misc/misc.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/misc.vhd
400
 
401
} {} {}} ../../lib/techmap/maps/grspwc_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd
402
 
403
} {} {}} ../../lib/techmap/unisim/clkgen_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd
404
 
405
} {} {}} ../../lib/techmap/inferred/ddr_phy_inferred.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/ddr_phy_inferred.vhd
406
 
407
} {} {}} ../../lib/techmap/unisim/grusbhc_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grusbhc_unisim.vhd
408
 
409
} {} {}} ../../lib/gaisler/jtag/libjtagcom.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/libjtagcom.vhd
410
 
411
} {} {}} ../../lib/gaisler/misc/gptimer.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/gptimer.vhd
412
 
413
} {} {}} ../../lib/gaisler/leon3/mmu_icache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_icache.vhd
414
 
415
} {} {}} ../../lib/gaisler/ata/atahost_amba_slave.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_amba_slave.vhd
416
 
417
} {} {}} ../../lib/gaisler/leon3/leon3sh.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3sh.vhd
418
 
419
} {} {}} ../../lib/techmap/unisim/ddr_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/ddr_unisim.vhd
420
 
421
} {} {}} ../../lib/gaisler/leon3/grfpushwx.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grfpushwx.vhd
422
 
423
} {} {}} ../../lib/gaisler/ata/atactrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl.vhd
424
 
425
} {} {}} ../../lib/gaisler/leon3/grfpwxsh.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grfpwxsh.vhd
426
 
427
} {} {}} ../../lib/techmap/inferred/ddr_inferred.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/ddr_inferred.vhd
428
 
429
} {} {}} ../../lib/gaisler/vlog/hazard_unit.v {1 {vlog -work gaisler -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/hazard_unit.v
430
 
431
} {} {}} ../../lib/gaisler/sim/ata_device.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/ata_device.vhd
432
 
433
} {} {}} ../../lib/gaisler/misc/charrom.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom.vhd
434
 
435
} {} {}} ../../lib/techmap/maps/tap.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd
436
 
437
} {} {}} ../../lib/gaisler/memctrl/spimctrl.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/spimctrl.vhd
438
 
439
} {} {}} ../../lib/gaisler/sim/sim.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/sim.vhd
440
 
441
} {} {}} ../../lib/gaisler/can/can_mod.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mod.vhd
442
 
443
} {} {}} ../../lib/techmap/maps/allmem.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allmem.vhd
444
 
445
} {} {}} ../../lib/grlib/sparc/cpu_disas.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/sparc/cpu_disas.vhd
446
 
447
} {} {}} config.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/config.vhd
448
 
449
} {} {}} ../../lib/techmap/maps/ddrphy.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/ddrphy.vhd
450
 
451
} {} {}} ../../lib/techmap/maps/allddr.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allddr.vhd
452
 
453
} {} {}} ahbrom.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/ahbrom.vhd
454
 
455
} {} {}} ../../lib/gaisler/misc/wild2ahb.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/wild2ahb.vhd
456
 
457
} {} {}} ../../lib/gaisler/leon3/dcache.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd
458
 
459
} {} {}} ../../lib/techmap/maps/allclkgen.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allclkgen.vhd
460
 
461
} {} {}} ../../lib/techmap/maps/cpu_disas_net.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/cpu_disas_net.vhd
462
 
463
} {} {}} leon3mp.vhd {1 {vcom -work work -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd
464
 
465
} {} {}} ../../lib/eth/core/greth_pkg.vhd {1 {vcom -work eth -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_pkg.vhd
466
 
467
} {} {}} ../../lib/gaisler/spacewire/grspw2.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw2.vhd
468
 
469
} {} {}} ../../lib/grlib/amba/dma2ahb.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/dma2ahb.vhd
470
 
471
} {} {}} ../../lib/grlib/amba/dma2ahb_pkg.vhd {1 {vcom -work grlib -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/dma2ahb_pkg.vhd
472
 
473
} {} {}} ../../lib/gaisler/sim/phy.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/phy.vhd
474
 
475
} {} {}} ../../lib/gaisler/sim/sram16.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/sim/sram16.vhd
476
 
477
} {} {}} ../../lib/gaisler/vlog/EXEC_stage.v {1 {vlog -work gaisler +incdir+C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog -nocovercells C:/grlib-gpl-1.0.19-b3188/lib/gaisler/vlog/EXEC_stage.v
478
 
479
} {} {}} ../../lib/techmap/gencomp/gencomp.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/gencomp.vhd
480
 
481
} {} {}} ../../lib/techmap/unisim/memory_unisim.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd
482
 
483
} {} {}} ../../lib/gaisler/leon3/libmmu.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libmmu.vhd
484
 
485
} {} {}} ../../lib/gaisler/leon3/mmuiface.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuiface.vhd
486
 
487
} {} {}} ../../lib/techmap/maps/clkgen.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd
488
 
489
} {} {}} ../../lib/techmap/maps/clkand.vhd {1 {vcom -work techmap -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd
490
 
491
} {} {}} ../../lib/gaisler/leon3/mmutw.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutw.vhd
492
 
493
} {} {}} ../../lib/gaisler/leon3/cachemem.vhd {1 {vcom -work gaisler -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd
494
 
495
} {} {}} ../../lib/synplify/sim/synattr.vhd {1 {vcom -work synplify -93 -source -nowarn 1 -nowarn 5 -cover s C:/grlib-gpl-1.0.19-b3188/lib/synplify/sim/synattr.vhd
496
 
497
} {} {}}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.