OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [vga_clkgen.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
 
2
library ieee;
3
use ieee.std_logic_1164.all;
4
-- pragma translate_off
5
library unisim;
6
use unisim.BUFG;
7
-- pragma translate_on
8
library techmap;
9
use techmap.gencomp.all;
10
use techmap.allclkgen.all;
11
 
12
 
13
entity vga_clkgen is
14
  port (
15
    resetn  : in  std_logic;
16
    sel     : in  std_logic_vector(1 downto 0);
17
    clk25   : in  std_logic;
18
    clkm    : in  std_logic;
19
    clk50   : in  std_logic;
20
    clkout  : out std_logic
21
  );
22
end;
23
 
24
architecture struct of vga_clkgen is
25
component BUFG port ( O : out std_logic; I : in std_logic); end component;
26
signal clk65, clksel : std_logic;
27
 
28
begin
29
 
30
  -- 65 MHz clock generator 
31
 
32
  clkgen65 : clkmul_virtex2 generic map (13, 5) port map (resetn, clk25, clk65);
33
 
34
  clk_select : process (clk25, clk50, clk65, sel)
35
  begin
36
    case sel is
37
    when "00" => clksel <= clk25;
38
    when "01" => clksel <= clkm;
39
    when "10" => clksel <= clk50;
40
    when "11" => clksel <= clk65;
41
    when others => clksel <= '0';
42
    end case;
43
  end process;
44
 
45
  bufg1 : BUFG port map (I => clksel, O => clkout);
46
 
47
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.