OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-wildcard-xcv300e/] [pe_arch.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
--============================================================================--
19
-- Design unit  : PE architecture (architecture declarations)
20
--
21
-- File name    : pe_arch.vhd
22
--
23
-- Purpose      : Wrapper for simulation
24
--
25
-- Library      : PE_Lib
26
--
27
-- Authors      : Mr Sandi Alexander Habinc
28
--                Gaisler Research
29
--
30
-- Contact      : mailto:support@gaisler.com
31
--                http://www.gaisler.com
32
--
33
-- Disclaimer   : All information is provided "as is", there is no warranty that
34
--                the information is correct or suitable for any purpose,
35
--                neither implicit nor explicit.
36
--============================================================================--
37
 
38
--============================== Architecture ================================--
39
 
40
library  IEEE;
41
use      IEEE.Std_Logic_1164.all;
42
 
43
library  PE_Lib;
44
use      PE_Lib.PE_Package.all;
45
 
46
architecture Wrapper of PE is
47
 
48
   component WildFpga is
49
   port (
50
      Clocks_F_Clk:                in     std_logic;
51
      Clocks_M_Clk:                in     std_logic;
52
      Clocks_P_Clk:                in     std_logic;
53
      Clocks_K_Clk:                in     std_logic;
54
      Clocks_IO_Clk:               in     std_logic;
55
 
56
      Clocks_M_Clk_Out_PE:         out    std_logic;
57
      Clocks_M_Clk_Out_CB_Ctrl:    out    std_logic;
58
      Clocks_M_Clk_Out_Right_Mem:  out    std_logic;
59
      Clocks_M_Clk_Out_Left_Mem:   out    std_logic;
60
      Clocks_P_Clk_Out_PE:         out    std_logic;
61
      Clocks_P_Clk_Out_CB_Ctrl:    out    std_logic;
62
 
63
      Reset_Reset:                 in     std_logic;
64
      Audio_Audio:                 out    std_logic;
65
 
66
      LAD_Bus_Addr_Data:           inout  std_logic_vector (31 downto 0);
67
      LAD_Bus_AS_n:                in     std_logic;
68
      LAD_Bus_DS_n:                in     std_logic;
69
      LAD_Bus_WR_n:                in     std_logic;
70
      LAD_Bus_CS_n:                in     std_logic;
71
      LAD_Bus_Reg_n:               in     std_logic;
72
      LAD_Bus_Ack_n:               out    std_logic;
73
      LAD_Bus_Int_Req_n:           out    std_logic;
74
      LAD_Bus_DMA_0_Data_OK_n:     out    std_logic;
75
      LAD_Bus_DMA_0_Burst_OK:      out    std_logic;
76
      LAD_Bus_DMA_1_Data_OK_n:     out    std_logic;
77
      LAD_Bus_DMA_1_Burst_OK:      out    std_logic;
78
      LAD_Bus_Reg_Data_OK_n:       out    std_logic;
79
      LAD_Bus_Reg_Burst_OK:        out    std_logic;
80
      LAD_Bus_Force_K_Clk_n:       out    std_logic;
81
      LAD_Bus_Reserved:            out    std_logic;
82
 
83
      Left_Mem_Addr:               out    std_logic_vector (18 downto 0);
84
      Left_Mem_Data:               inout  std_logic_vector (35 downto 0);
85
      Left_Mem_Byte_WR_n:          out    std_logic_vector (3 downto 0);
86
      Left_Mem_CS_n:               out    std_logic;
87
      Left_Mem_CE_n:               out    std_logic;
88
      Left_Mem_WE_n:               out    std_logic;
89
      Left_Mem_OE_n:               out    std_logic;
90
      Left_Mem_Sleep_EN:           out    std_logic;
91
      Left_Mem_Load_EN_n:          out    std_logic;
92
      Left_Mem_Burst_Mode:         out    std_logic;
93
 
94
      Right_Mem_Addr:              out    std_logic_vector (18 downto 0);
95
      Right_Mem_Data:              inout  std_logic_vector (35 downto 0);
96
      Right_Mem_Byte_WR_n:         out    std_logic_vector (3 downto 0);
97
      Right_Mem_CS_n:              out    std_logic;
98
      Right_Mem_CE_n:              out    std_logic;
99
      Right_Mem_WE_n:              out    std_logic;
100
      Right_Mem_OE_n:              out    std_logic;
101
      Right_Mem_Sleep_EN:          out    std_logic;
102
      Right_Mem_Load_EN_n:         out    std_logic;
103
      Right_Mem_Burst_Mode:        out    std_logic;
104
 
105
      Left_IO:                     inout  std_logic_vector (12 downto 0);
106
      Right_IO:                    inout  std_logic_vector (12 downto 0));
107
   end component;
108
 
109
   signal   Clocks_M_Clk_Out_Right_Del:  std_logic;
110
   signal   Clocks_M_Clk_Out_Left_Del:   std_logic;
111
 
112
begin
113
 
114
   Init_PE_Pads ( Pads );
115
 
116
   Pads.Clocks.M_Clk_Out_Right_Mem  <= transport Clocks_M_Clk_Out_Right_Del after 2 ns;
117
   Pads.Clocks.M_Clk_Out_Left_Mem   <= transport Clocks_M_Clk_Out_Left_Del  after 2 ns;
118
 
119
   FPGA: WildFpga
120
   port map (
121
      Clocks_F_Clk                  => Pads.Clocks.F_Clk,
122
      Clocks_M_Clk                  => Pads.Clocks.M_Clk,
123
      Clocks_P_Clk                  => Pads.Clocks.P_Clk,
124
      Clocks_K_Clk                  => Pads.Clocks.K_Clk,
125
      Clocks_IO_Clk                 => Pads.Clocks.IO_Clk,
126
      Clocks_M_Clk_Out_PE           => Pads.Clocks.M_Clk_Out_PE,
127
      Clocks_M_Clk_Out_CB_Ctrl      => Pads.Clocks.M_Clk_Out_CB_Ctrl,
128
      Clocks_M_Clk_Out_Right_Mem    => Clocks_M_Clk_Out_Right_Del,
129
      Clocks_M_Clk_Out_Left_Mem     => Clocks_M_Clk_Out_Left_Del,
130
      Clocks_P_Clk_Out_PE           => Pads.Clocks.P_Clk_Out_PE,
131
      Clocks_P_Clk_Out_CB_Ctrl      => Pads.Clocks.P_Clk_Out_CB_Ctrl,
132
      Reset_Reset                   => Pads.Reset,
133
      Audio_Audio                   => Pads.Audio,
134
      LAD_Bus_Addr_Data             => Pads.LAD_Bus.Addr_Data,
135
      LAD_Bus_AS_n                  => Pads.LAD_Bus.AS_n,
136
      LAD_Bus_DS_n                  => Pads.LAD_Bus.DS_n,
137
      LAD_Bus_WR_n                  => Pads.LAD_Bus.WR_n,
138
      LAD_Bus_CS_n                  => Pads.LAD_Bus.CS_n,
139
      LAD_Bus_Reg_n                 => Pads.LAD_Bus.Reg_n,
140
      LAD_Bus_Ack_n                 => Pads.LAD_Bus.Ack_n,
141
      LAD_Bus_Int_Req_n             => Pads.LAD_Bus.Int_Req_n,
142
      LAD_Bus_DMA_0_Data_OK_n       => Pads.LAD_Bus.DMA_0_Data_OK_n,
143
      LAD_Bus_DMA_0_Burst_OK        => Pads.LAD_Bus.DMA_0_Burst_OK,
144
      LAD_Bus_DMA_1_Data_OK_n       => Pads.LAD_Bus.DMA_1_Data_OK_n,
145
      LAD_Bus_DMA_1_Burst_OK        => Pads.LAD_Bus.DMA_1_Burst_OK,
146
      LAD_Bus_Reg_Data_OK_n         => Pads.LAD_Bus.Reg_Data_OK_n,
147
      LAD_Bus_Reg_Burst_OK          => Pads.LAD_Bus.Reg_Burst_OK,
148
      LAD_Bus_Force_K_Clk_n         => Pads.LAD_Bus.Force_K_Clk_n,
149
      LAD_Bus_Reserved              => Pads.LAD_Bus.Reserved,
150
      Left_Mem_Addr                 => Pads.Left_Mem.Addr,
151
      Left_Mem_Data                 => Pads.Left_Mem.Data,
152
      Left_Mem_Byte_WR_n            => Pads.Left_Mem.Byte_WR_n,
153
      Left_Mem_CS_n                 => Pads.Left_Mem.CS_n,
154
      Left_Mem_CE_n                 => Pads.Left_Mem.CE_n,
155
      Left_Mem_WE_n                 => Pads.Left_Mem.WE_n,
156
      Left_Mem_OE_n                 => Pads.Left_Mem.OE_n,
157
      Left_Mem_Sleep_EN             => Pads.Left_Mem.Sleep_EN,
158
      Left_Mem_Load_EN_n            => Pads.Left_Mem.Load_EN_n,
159
      Left_Mem_Burst_Mode           => Pads.Left_Mem.Burst_Mode,
160
      Right_Mem_Addr                => Pads.Right_Mem.Addr,
161
      Right_Mem_Data                => Pads.Right_Mem.Data,
162
      Right_Mem_Byte_WR_n           => Pads.Right_Mem.Byte_WR_n,
163
      Right_Mem_CS_n                => Pads.Right_Mem.CS_n,
164
      Right_Mem_CE_n                => Pads.Right_Mem.CE_n,
165
      Right_Mem_WE_n                => Pads.Right_Mem.WE_n,
166
      Right_Mem_OE_n                => Pads.Right_Mem.OE_n,
167
      Right_Mem_Sleep_EN            => Pads.Right_Mem.Sleep_EN,
168
      Right_Mem_Load_EN_n           => Pads.Right_Mem.Load_EN_n,
169
      Right_Mem_Burst_Mode          => Pads.Right_Mem.Burst_Mode,
170
      Left_IO                       => Pads.Left_IO,
171
      Right_IO                      => Pads.Right_IO);
172
 
173
end architecture Wrapper; --==================================================--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.