OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-xilinx-ml501/] [default.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#
2
# Clocks
3
#
4
define_clock            -name {clk_100}  -freq 130.000 -route 1.0 -clockgroup default_clkgroup
5
define_clock            -name {phy_tx_clk}  -freq 25.000 -clockgroup phy_tx_clkgroup -route 10.000
6
#define_clock            -name {phy_gtx_clk}  -freq 125.000 -clockgroup phy_gtx_clkgroup -route 2.000
7
define_clock            -name {leon3mp|egtx_clk}  -freq 125.000 -clockgroup phy_egtx_clkgroup -route 2.000
8
define_clock            -name {phy_rx_clk}  -freq 125.000 -clockgroup phy_rx_clkgroup -route 2.000
9
 
10
define_clock            -name {leon3mp|clkgen0.clkin}  -freq 100.000 -route 2.0 -clockgroup ahb_clkgroup
11
#define_clock            -name {leon3mp|eth1.e1.m100.u0.rxclk}  -freq 25.000 -route 10.0 -clockgroup rx100_clkgroup
12
#define_clock            -name {leon3mp|eth1.e1.m1000.u0.rxclk}  -freq 100.000 -route 2.0 -clockgroup rx1000_clkgroup
13
 
14
define_clock            -name {ddr2spa|ddr_phy0.ddr_phy0.xc4v.ddr_phy0.mclkfx} -freq 200.000 -route 1.0 -clockgroup ddr_clkgroup
15
define_clock            -name {clk_200}  -freq 200.000 -route 1.0 -clockgroup ddr_clkgroup
16
 
17
#
18
# Clock to Clock
19
#
20
 
21
#define_clock_delay           -rise {clk_100mhz} -fall {clk_100mhz} -false
22
 
23
#define_clock_delay -rise ddr2spa|ddr_phy0.ddr_phy0.xc4v.ddr_phy0.mclkfx -rise leon3mp|clkgen0.xc5l.v.clk0B_derived_clock -false
24
#define_clock_delay -rise ddr2spa|ddr_phy0.ddr_phy0.xc4v.ddr_phy0.clk_90ro -rise leon3mp|clkgen0.xc5l.v.clk0B_derived_clock -false
25
 
26
#
27
# Inputs/Outputs
28
#
29
define_output_delay -disable     -default  10.00 -improve 0.00 -route 0.00 -ref {clk:r}
30
define_input_delay -disable      -default  10.00 -improve 0.00 -route 0.00 -ref {clk:r}
31
 
32
#
33
# Registers
34
#
35
 
36
#
37
# Multicycle Path
38
#
39
 
40
#
41
# False Path
42
#
43
 
44
#
45
# Path Delay
46
#
47
 
48
#
49
# Attributes
50
#
51
define_global_attribute          syn_useioff {1}
52
 
53
#
54
# I/O standards
55
#
56
 
57
#
58
# Compile Points
59
#
60
 
61
#
62
# Other Constraints
63
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.