OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [esa/] [pci/] [pci_arb_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
 
3
use ieee.std_logic_1164.all;
4
 
5
package pci_arb_pkg is
6
   subtype clk_type is std_logic;
7
 
8
   -----------------------------------------------------------------------------
9
   -- Constant definitions for AMBA(TM) APB
10
   -----------------------------------------------------------------------------
11
   constant PDMAX:   Positive range 8 to 32 := 32;       -- data width
12
   constant PAMAX:   Positive range 8 to 32 := 32;       -- address width
13
 
14
   -----------------------------------------------------------------------------
15
   -- Definitions for AMBA(TM) APB Slaves
16
   -----------------------------------------------------------------------------
17
   -- APB slave inputs (PCLK and PRESETn routed separately)
18
   type EAPB_Slv_In_Type is
19
      record
20
         PSEL:       Std_ULogic;                         -- slave select
21
         PENABLE:    Std_ULogic;                         -- strobe
22
         PADDR:      Std_Logic_Vector(PAMAX-1 downto 0); -- address bus (byte)
23
         PWRITE:     Std_ULogic;                         -- write
24
         PWDATA:     Std_Logic_Vector(PDMAX-1 downto 0); -- write data bus
25
      end record;
26
 
27
   -- APB slave outputs
28
   type EAPB_Slv_Out_Type is
29
      record
30
         PRDATA:     Std_Logic_Vector(PDMAX-1 downto 0); -- read data bus
31
      end record;
32
end pci_arb_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.