OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [eth/] [core/] [eth_rstgen.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      eth_rstgen
20
-- File:        eth_rstgen.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Reset generation with glitch filter
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
entity eth_rstgen is
29
  generic (acthigh : integer := 0);
30
  port (
31
    rstin     : in  std_ulogic;
32
    clk       : in  std_ulogic;
33
    clklock   : in  std_ulogic;
34
    rstout    : out std_ulogic;
35
    rstoutraw : out std_ulogic
36
  );
37
end;
38
 
39
architecture rtl of eth_rstgen is
40
signal r : std_logic_vector(4 downto 0);
41
signal rst : std_ulogic;
42
begin
43
 
44
  rst <= not rstin when acthigh = 1 else rstin;
45
  rstoutraw <= rst;
46
 
47
  reg1 : process (clk, rst) begin
48
    if rising_edge(clk) then
49
      r <= r(3 downto 0) & clklock;
50
      rstout <= r(4) and r(3) and r(2);
51
    end if;
52
    if rst = '0' then r <= "00000"; rstout <= '0'; end if;
53
  end process;
54
 
55
end;
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.