OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [can/] [can_mc.in] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
    bool 'Enable multi-core CAN interface                 ' CONFIG_CAN_ENABLE
2
    if [ "$CONFIG_CAN_ENABLE" = "y" ]; then
3
      int 'Number of CAN cores                      ' CONFIG_CAN_NUM 1
4
      hex 'CAN I/O area start address (haddr[19:8]) ' CONFIG_CANIO C00
5
      int 'Interrupt number                      ' CONFIG_CANIRQ 13
6
      bool 'Enable separate interrupts           ' CONFIG_CANSEPIRQ
7
      bool 'Enable synchronous reset             ' CONFIG_CAN_SYNCRST
8
      bool 'Enable FT FIFO memory                ' CONFIG_CAN_FT
9
    fi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.