OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [can/] [grcan.in] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
    bool 'Enable GRCAN interface                 ' CONFIG_GRCAN_ENABLE
2
    if [ "$CONFIG_GRCAN_ENABLE" = "y" ]; then
3
      int 'Number of CAN cores                      ' CONFIG_GRCAN_NUM 1
4
      int 'Interrupt number                      ' CONFIG_GRCANIRQ 13
5
      bool 'Enable separate interrupts           ' CONFIG_GRCANSEP
6
    fi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.