OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [leon3/] [dsu3.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      dsu
20
-- File:        dsu.vhd
21
-- Author:      Jiri Gaisler, Edvin Catovic - Gaisler Research
22
-- Description: Combined LEON3 debug support and AHB trace unit
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
use grlib.stdlib.all;
30
use grlib.devices.all;
31
library gaisler;
32
use gaisler.leon3.all;
33
use gaisler.libiu.all;
34
library techmap;
35
use techmap.gencomp.all;
36
 
37
entity dsu3 is
38
  generic (
39
    hindex  : integer := 0;
40
    haddr : integer := 16#900#;
41
    hmask : integer := 16#f00#;
42
    ncpu    : integer := 1;
43
    tbits   : integer := 30; -- timer bits (instruction trace time tag)
44
    tech    : integer := DEFMEMTECH;
45
    irq     : integer := 0;
46
    kbytes  : integer := 0
47
  );
48
  port (
49
    rst    : in  std_ulogic;
50
    clk    : in  std_ulogic;
51
    ahbmi  : in  ahb_mst_in_type;
52
    ahbsi  : in  ahb_slv_in_type;
53
    ahbso  : out ahb_slv_out_type;
54
    dbgi   : in l3_debug_out_vector(0 to NCPU-1);
55
    dbgo   : out l3_debug_in_vector(0 to NCPU-1);
56
    dsui   : in dsu_in_type;
57
    dsuo   : out dsu_out_type
58
  );
59
end;
60
 
61
architecture rtl of dsu3 is
62
 
63
  signal  gnd, vcc : std_ulogic;
64
 
65
begin
66
 
67
  gnd <= '0'; vcc <= '1';
68
 
69
  x0 : dsu3x generic map (hindex, haddr, hmask, ncpu, tbits, tech, irq, kbytes, 0)
70
    port map (rst, gnd, clk, ahbmi, ahbsi, ahbso, dbgi, dbgo, dsui, dsuo, vcc);
71
 
72
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.