OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [uart/] [ahbuart.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      ahbuart
20
-- File:        ahbuart.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: UART with AHB master interface
23
------------------------------------------------------------------------------  
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
use grlib.stdlib.all;
30
use grlib.devices.all;
31
library gaisler;
32
use gaisler.misc.all;
33
use gaisler.uart.all;
34
use gaisler.libdcom.all;
35
 
36
entity ahbuart is
37
  generic (
38
    hindex  : integer := 0;
39
    pindex  : integer := 0;
40
    paddr : integer := 0;
41
    pmask : integer := 16#fff#
42
  );
43
  port (
44
    rst     : in  std_ulogic;
45
    clk     : in  std_ulogic;
46
    uarti   : in  uart_in_type;
47
    uarto   : out uart_out_type;
48
    apbi    : in  apb_slv_in_type;
49
    apbo    : out apb_slv_out_type;
50
    ahbi    : in  ahb_mst_in_type;
51
    ahbo    : out ahb_mst_out_type );
52
end;
53
 
54
architecture struct of ahbuart is
55
 
56
constant REVISION : integer := 0;
57
 
58
signal dmai : ahb_dma_in_type;
59
signal dmao : ahb_dma_out_type;
60
signal duarti : dcom_uart_in_type;
61
signal duarto : dcom_uart_out_type;
62
 
63
begin
64
 
65
  ahbmst0 : ahbmst
66
    generic map (hindex => hindex, venid => VENDOR_GAISLER, devid => GAISLER_AHBUART)
67
    port map (rst, clk, dmai, dmao, ahbi, ahbo);
68
 
69
  dcom_uart0 : dcom_uart generic map (pindex, paddr, pmask)
70
    port map (rst, clk, uarti, uarto, apbi, apbo, duarti, duarto);
71
 
72
  dcom0 : dcom port map (rst, clk, dmai, dmao, duarti, duarto, ahbi);
73
 
74
-- pragma translate_off
75
    bootmsg : report_version
76
    generic map ("ahbuart" & tost(pindex) &
77
        ": AHB Debug UART rev " & tost(REVISION));
78
-- pragma translate_on
79
 
80
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.