OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [vlog/] [RF_components1.v.bak] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
/******************************************************************
2
 *                                                                *
3
 *    Author: Liwei                                               *
4
 *                                                                *
5
 *    This file is part of the "mips789" project.                 *
6
 *    Downloaded from:                                            *
7
 *    http://www.opencores.org/pdownloads.cgi/list/mips789        *
8
 *                                                                *
9
 *    If you encountered any problem, please contact me via       *
10
 *    Email:mcupro@opencores.org  or mcupro@163.com               *
11
 *                                                                *
12
 ******************************************************************/
13
 
14
`include "mips789_defs.v"
15
module ext(
16
        input [31:0] ins_i ,
17
        output reg [31:0] res ,
18
        input [2:0]ctl
19
    );
20
 
21
    wire [25:0] instr25_0;
22
    assign instr25_0 = ins_i[25:0] ;
23
 
24
    wire[15:0] sign = {16{instr25_0[15]}};
25
 
26
    always @ (*)
27
    case (ctl)
28
        `EXT_SIGN    :res ={sign,instr25_0[15:0]};//sign
29
        `EXT_UNSIGN  :res ={16'b0,instr25_0[15:0]};//zeroext
30
        `EXT_J       :res ={4'b0,instr25_0[25:0],2'b0};//jmp
31
        `EXT_B       :res ={sign[13:0],instr25_0[15:0],2'B0};//branch
32
        `EXT_SA      :res ={27'b0,instr25_0[10:6]} ;//sll,srl
33
        `EXT_S2H     :res ={instr25_0[15:0],16'B0};//shift to high
34
        default: res=32'bx;
35
    endcase
36
endmodule
37
 
38
 
39
module compare (
40
        input [31:0] s,
41
        input [31:0] t,
42
        input [2:0]ctl,
43
        output reg res
44
    );
45
    always @ (*)
46
    case  (ctl)
47
        `CMP_BEQ:   res = (s==t);
48
        `CMP_BNE:   res = (s!=t);
49
        `CMP_BLTZ:  res = s[31];
50
        `CMP_BGTZ:  res = ~s[31] && (|s[30:0]);
51
        `CMP_BLEZ:  res = s[31] |(~|s);
52
        `CMP_BGEZ:  res = ~s[31];
53
        default res=1'Bx;
54
    endcase
55
endmodule
56
 
57
 
58
module pc_gen(
59
        input [2:0]ctl,
60
        input hold,
61
        input clk,
62
        output reg   [31:0]pc_next,
63
                  output reg   branch,
64
        input [3:0] pc_prectl,
65
        input check,
66
        input [31:0]s,
67
        input [31:0]pc,
68
        input [31:0]zz_spc,
69
        input [31:0]imm
70
    );
71
 
72
wire [3:0] pc_prectl1;
73
 
74
r4_reg pc_prectl2
75
      (  .hold(hold),
76
                .clk(clk),
77
                .r4_i(pc_prectl),
78
                .r4_o(pc_prectl1)
79
            );
80
 
81
    wire [32:0] br_addr = pc-4 + imm ;
82
    always @ (*)
83
        if(pc_prectl1 == `PC_IGN )
84
        begin
85
            case (ctl)
86
                `PC_RET         :begin  pc_next = zz_spc ; branch=1; end
87
                `PC_J           :begin  pc_next ={pc[31:28],imm[27:0]}; branch=1; end
88
                `PC_JR          :begin  pc_next = s; branch=1; end
89
                `PC_BC          :begin  pc_next = (check)?({br_addr[31:0]}):(pc+4);
90
                                                                                if (check == 1'b1)
91
                                                                                        branch=1;
92
                                                                                else
93
                                                                                        branch=0;
94
                                                                        end
95
             default
96
                         /* `PC_NEXT    :*/begin        pc_next = pc + 4 ;  branch=0; end
97
            endcase
98
        end
99
        else
100
        begin
101
            case (pc_prectl1)
102
                `PC_KEP         : pc_next=pc;
103
 //               `PC_IRQ       : pc_next=irq;
104
             default
105
                         /* `PC_RST     : pc_next='d0;*/
106
                  pc_next =0;
107
            endcase
108
        end
109
 
110
endmodule
111
 
112
 
113
 
114
module reg_array(
115
        data,
116
        wraddress,
117
        rdaddress_a,
118
        rdaddress_b,
119
        wren,
120
        clock,
121
        qa,
122
        qb,
123
        rd_clk_cls,
124
    //    bank_sel
125
    );
126
 
127
    input       [31:0]  data;
128
    input       [4:0]  wraddress;
129
    input       [4:0]  rdaddress_a;
130
    input       [4:0]  rdaddress_b;
131
   // input bank_sel;
132
    input rd_clk_cls;
133
    input       wren;
134
 
135
    reg [31:0]  r_data;
136
    reg [4:0]  r_wraddress;
137
    reg [4:0]  r_rdaddress_a;
138
    reg [4:0]  r_rdaddress_b;
139
 
140
    reg r_wren;
141
    input       clock;
142
    output      [31:0]  qa;
143
    output      [31:0]  qb;
144
    reg [31:0]reg_bank[0:31];
145
 
146
    integer i;
147
    initial
148
    begin
149
        for(i=0;i<32;i=i+1)
150
            reg_bank[i]=0;
151
    end
152
 
153
    always@(posedge clock)
154
    begin
155
        r_data <=data;
156
        r_wraddress<=wraddress;
157
        r_wren<=wren;
158
    end
159
 
160
            always@(posedge clock)
161
        if (~rd_clk_cls)
162
        begin
163
            r_rdaddress_a <=rdaddress_a;
164
            r_rdaddress_b <=rdaddress_b;
165
        end
166
 
167
    always@(posedge clock)
168
        if (r_wren)
169
            reg_bank[r_wraddress] <= r_data ;
170
 
171
    assign qa=(r_rdaddress_a[4:0]==0)?0:
172
           ((r_wraddress==r_rdaddress_a)&&(1==r_wren))?r_data:
173
           reg_bank[r_rdaddress_a];
174
 
175
    assign qb=(r_rdaddress_b[4:0]==0)?0:
176
           ((r_wraddress==r_rdaddress_b)&&(1==r_wren))?r_data:
177
           reg_bank[r_rdaddress_b];
178
 
179
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.