OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [hynix/] [ddr2/] [components.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
----------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2007 GAISLER RESEARCH
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
-----------------------------------------------------------------------------
13
-- Package:     components
14
-- File:        components.vhd
15
-- Author:      Jiri Gaisler, Gaisler Research
16
-- Description: Component declaration of Hynix RAM
17
------------------------------------------------------------------------------
18
 
19
-- pragma translate_off
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
use std.textio.all;
24
use work.HY5PS121621F_PACK.all;
25
 
26
package components is
27
 
28
component HY5PS121621F
29
  generic (
30
     TimingCheckFlag : boolean := TRUE;
31
     PUSCheckFlag : boolean := FALSE;
32
     Part_Number : PART_NUM_TYPE := B400);
33
  Port (  DQ    :  inout   std_logic_vector(15 downto 0) := (others => 'Z');
34
          LDQS  :  inout   std_logic := 'Z';
35
          LDQSB :  inout   std_logic := 'Z';
36
          UDQS  :  inout   std_logic := 'Z';
37
          UDQSB :  inout   std_logic := 'Z';
38
          LDM   :  in      std_logic;
39
          WEB   :  in      std_logic;
40
          CASB  :  in      std_logic;
41
          RASB  :  in      std_logic;
42
          CSB   :  in      std_logic;
43
          BA    :  in      std_logic_vector(1 downto 0);
44
          ADDR  :  in      std_logic_vector(12 downto 0);
45
          CKE   :  in      std_logic;
46
          CLK   :  in      std_logic;
47
          CLKB  :  in      std_logic;
48
          UDM   :  in      std_logic );
49
End component;
50
 
51
end;
52
 
53
-- pragma translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.