OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [axcelerator/] [buffer_axcelerator.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      clkbuf_actel
20
-- File:        clkbuf_actel.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Clock buffer generator for Actel devices
23
------------------------------------------------------------------------------
24
library ieee;
25
use ieee.std_logic_1164.all;
26
-- pragma translate_off
27
library axcelerator;
28
use axcelerator.hclkint;
29
use axcelerator.clkint;
30
-- pragma translate_on
31
 
32
entity clkbuf_actel is
33
  generic(
34
    buftype :  integer range 0 to 3 := 0);
35
  port(
36
    i       :  in  std_ulogic;
37
    o       :  out std_ulogic
38
  );
39
end entity;
40
 
41
architecture rtl of clkbuf_actel is
42
  signal o2, no2, nin : std_ulogic;
43
  component hclkint port(a : in std_ulogic; y : out std_ulogic); end component;
44
  component clkint port(a : in std_ulogic; y : out std_ulogic); end component;
45
  attribute syn_maxfan : integer;
46
  attribute syn_maxfan of o2 : signal is 10000;
47
begin
48
  o <= o2;
49
  buf0 : if buftype = 0 generate
50
    o2 <= i;
51
  end generate;
52
  buf1 : if buftype = 1 generate
53
    buf : hclkint port map(A => i, Y => o2);
54
  end generate;
55
  buf2 : if buftype = 2 generate
56
    buf : clkint port map(A => i, Y => o2);
57
  end generate;
58
  buf3 : if buftype > 2 generate
59
    nin <= not i;
60
    buf : clkint port map(A => nin, Y => no2);
61
    o2 <= not no2;
62
  end generate;
63
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.