OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [actrlout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
 
10
entity actrlout is
11
  generic(
12
    power_up  : string := "high"
13
  );
14
  port(
15
    clk     : in  std_logic;
16
    i       : in  std_logic;
17
    o       : out std_logic
18
  );
19
end;
20
architecture rtl of actrlout is
21
 
22
component cycloneiii_ddio_out
23
  generic(
24
    power_up                           :  string := "low";
25
    async_mode                         :  string := "none";
26
    sync_mode                          :  string := "none";
27
    lpm_type                           :  string := "cycloneiii_ddio_out"
28
  );
29
  port (
30
    datainlo                : in std_logic := '0';
31
    datainhi                : in std_logic := '0';
32
    clk                     : in std_logic := '0';
33
    ena                     : in std_logic := '1';
34
    areset                  : in std_logic := '0';
35
    sreset                  : in std_logic := '0';
36
    dataout                 : out std_logic;
37
    dfflo                   : out std_logic;
38
    dffhi                   : out std_logic-- ;         
39
    --devclrn                 : in std_logic := '1';   
40
    --devpor                  : in std_logic := '1'   
41
  );
42
end component;
43
 
44
signal vcc      : std_logic;
45
signal gnd      : std_logic_vector(13 downto 0);
46
signal clk_reg  : std_logic;
47
signal clk_buf, clk_bufn  : std_logic;
48
begin
49
  vcc <= '1'; gnd <= (others => '0');
50
 
51
  out_reg0 : cycloneiii_ddio_out
52
    generic map(
53
      power_up               => power_up,--"high",          
54
      async_mode             => "none",
55
      sync_mode              => "none",
56
      lpm_type               => "cycloneiii_ddio_out"
57
    )
58
    port map(
59
      datainlo => i,
60
      datainhi => i,
61
      clk      => clk,
62
      ena      => vcc,
63
      areset   => gnd(0),
64
      sreset   => gnd(0),
65
      dataout  => o,
66
      dfflo    => open,
67
      dffhi    => open--,    
68
      --devclrn  => vcc,   
69
      --devpor   => vcc  
70
    );
71
 
72
 
73
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.