OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [ringosc.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:        ringosc
20
-- File:          ringosc.vhd
21
-- Author:        Jiri Gaisler - Gaisler Research
22
-- Description:   Ring-oscillator with tech mapping
23
------------------------------------------------------------------------------
24
library  IEEE;
25
use      IEEE.Std_Logic_1164.all;
26
library techmap;
27
use techmap.gencomp.all;
28
 
29
entity ringosc is
30
   generic (tech : integer := 0);
31
   port (
32
      roen  :  in    Std_ULogic;
33
      roout :  out   Std_ULogic);
34
end ;
35
 
36
architecture rtl of ringosc is
37
  component ringosc_dare
38
   port (
39
      roen  :  in    Std_ULogic;
40
      roout :  out   Std_ULogic);
41
  end component;
42
 
43
begin
44
 
45
  dr : if tech = rhumc generate
46
    drx : ringosc_dare port map (roen, roout);
47
  end generate;
48
 
49
-- pragma translate_off
50
  gen : if tech /= rhumc generate
51
  signal tmp : std_ulogic := '0';
52
  begin
53
    tmp <= not tmp after 1 ns when roen = '1' else '0';
54
    roout <= tmp;
55
  end generate;
56
-- pragma translate_on
57
 
58
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.