OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140 24 gerhardhoh
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted, isfull, flag,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264 20 gerhardhoh
            if ways  = 1 then
265
              elim <= 0;
266
            else
267
              elim <= to_integer( gal( ldways - 1 downto 0));
268
            end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279 20 gerhardhoh
                          AddressInt <= toFlush;
280
                          statetag <= stateget;
281
                        elsif queuedone = '1' then
282 10 gerhardhoh
                      enableram <= '0';
283 20 gerhardhoh
                          statetag <= finish;
284
                        end if;
285 10 gerhardhoh
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288 20 gerhardhoh
                     enableram <= '1';
289 10 gerhardhoh
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293 20 gerhardhoh
                         tagRAMIn( i).tagvalid <= '0';
294 10 gerhardhoh
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297 20 gerhardhoh
                                 writet <= '1';
298 10 gerhardhoh
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307 20 gerhardhoh
                           writet <= '1';
308
                       AddressInt <= AddressInh( AddressInt'range);
309
                           done <= '1';
310
                       statetag <= finished;
311 10 gerhardhoh
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359 20 gerhardhoh
                isfull <= '0';
360
                flag <= '0';
361 10 gerhardhoh
                initcount1 <= ( others => '0');
362
                FreeIn <= ( others => '0');
363 11 gerhardhoh
                firstf <= ( others => '0');
364
                lastf <= ( others => '0');
365
                counterf <= ( others => '0');
366 10 gerhardhoh
         else
367 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
368 10 gerhardhoh
                acc := accqueue or queuedone;
369 13 gerhardhoh
                en := enablequeue and not acc;
370 10 gerhardhoh
 
371
                if ldCachedWords = 0 then
372
                  index := 0;
373
                else
374
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
375
                end if;
376
 
377
           case stateram is
378
                  when raminit =>
379
                         FreeIn <= std_ulogic_vector( initcount1);
380 20 gerhardhoh
             initcount1 <= initcount1 + 1;
381
 
382 10 gerhardhoh
                         if unsigned( not FreeIn) = 0 then
383
                           stateram <= ramstart;
384
                           putf <= '0';
385
                         else
386
                           putf <= '1';
387
                         end if;
388
                  when ramstart =>
389 20 gerhardhoh
                     if enableram = '1' then -- UPDATE
390
                           if found /= 15 then
391 22 gerhardhoh
                              tagBuff <= tagRAMOut;
392 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
393 20 gerhardhoh
                                  isfull <= '0';
394 10 gerhardhoh
                                  stateram <= ramupdate;
395 20 gerhardhoh
                           elsif free /= 15 then
396 10 gerhardhoh
                                  en := '1';
397 20 gerhardhoh
                                  if emptyf = '1' and isfull = '0' then
398
                                    isfull <= '1';
399 22 gerhardhoh
                                tagBuff <= tagRAMOut;
400 20 gerhardhoh
                                    stateram <= ramwait;
401
                                  else
402
                                    cindex <= FreeOut;
403 26 gerhardhoh
                                        if isfull = '0' then
404
                                  tagBuff <= tagRAMOut;
405
                                        end if;
406
                                    isfull <= '0';
407 24 gerhardhoh
                                    stateram <= ramupdate1;
408 20 gerhardhoh
                                  end if;
409 10 gerhardhoh
                                else
410 23 gerhardhoh
                              tagBuff <= tagRAMOut;
411 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
412 20 gerhardhoh
                                  isfull <= '0';
413 15 gerhardhoh
                                  stateram <= ramupdate;
414 10 gerhardhoh
                                end if;
415
                         end if;
416
                  when ramupdate =>
417
                    stateram <= ramupdate1;
418
                  when ramupdate1 =>
419
                         en := '1';
420 20 gerhardhoh
                         if found /= 15 then
421 25 gerhardhoh
                       cacheIn <= cacheOut;
422
                           blockOut <= cacheOut.Words;
423
                           RecBuff <= cacheOut;
424 20 gerhardhoh
                           stateram <= ramupdate2;
425 24 gerhardhoh
                         elsif free /= 15 then
426
                           tagBuff( free).cacheAddr <= FreeOut;
427
                           tagBuff( free).cacheValid <= '1';
428
                           tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
429
                           tagBuff( free).tagValid <= '1';
430
                           getf <= '1';
431
                           if IOCodeh = "111" and ldCachedWords = 0 then
432
                             stateram <= ramupdate2;
433
                           else
434
                             readb <= '1';
435
                             AddressOut <= AddressInh( AddressOut'range);
436
                             stateram <= ramread;
437
                           end if;
438 20 gerhardhoh
                         else
439 25 gerhardhoh
                       cacheIn <= cacheOut;
440
                           blockOut <= cacheOut.Words;
441
                           RecBuff <= cacheOut;
442 20 gerhardhoh
                           AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
443
                       writeb <= '1';
444
                           flag <= '1';
445
                           stateram <= ramflush;
446
                         end if;
447 10 gerhardhoh
                  when ramwait =>
448
                    if hi = '1' then
449 20 gerhardhoh
                          stateram <= ramwait1;
450
                        end if;
451 10 gerhardhoh
                  when ramwait1 =>
452 20 gerhardhoh
                         writec <= '0';
453
 
454 10 gerhardhoh
                         if del /= 15 and enableram = '1' then
455 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
456 20 gerhardhoh
                         tagBuff( del).tagvalid <= '0';
457 10 gerhardhoh
                             tagBuff( del).cacheValid <= '0';
458
                             tagBuff( del).tag <= ( others => '0');
459
                             tagBuff( del).cacheAddr <= ( others => '0');
460 20 gerhardhoh
                           end if;
461 10 gerhardhoh
                           cindex <= tagdummy( del).cacheAddr;
462 20 gerhardhoh
                           FreeIn <= tagdummy( del).cacheAddr;
463
                           putf <= tagdummy( del).cacheValid;
464 10 gerhardhoh
                           stateram <= ramclean;
465
                         end if;
466
                  when ramread =>
467
                    readb <= '0';
468 20 gerhardhoh
                        getf <= '0';
469 10 gerhardhoh
                    stateram <= ramread1;
470
                  when ramread1 =>
471
                    if readsh = '0' then
472
                           for i in blockIn'range loop
473
                                  cacheIn.Words( i) <= blockIn( i);
474
                                end loop;
475
                      stateram <= ramupdate2;
476
                         end if;
477
                  when ramupdate2 =>
478
                    if IOCodeh(2) = '1' then
479
                           if IOCodeh(1) = '1' then
480
                                  If IOCodeh(0) = '1' then
481
                                    cacheIn.Words( index).Word <= DataInh;
482 20 gerhardhoh
                                        cacheIn.Words( index).Modified <= "1111";
483 10 gerhardhoh
                                  elsif AddressInh(1) = '1' then
484
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
485 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 3 downto 2) <= "11";
486 10 gerhardhoh
                                  else
487
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
488 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 1 downto 0) <= "11";
489 10 gerhardhoh
                                  end if;
490
                                else
491
                                  if AddressInh(1) = '0' then
492
                                    if AddressInh(0) = '0' then
493
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
494 20 gerhardhoh
                                           cacheIn.Words( index).Modified(0) <= '1';
495 10 gerhardhoh
                                    else
496
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
497 20 gerhardhoh
                                           cacheIn.Words( index).Modified(1) <= '1';
498 10 gerhardhoh
                                         end if;
499
                                  else
500
                                    if AddressInh(0) = '0' then
501
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
502 20 gerhardhoh
                                           cacheIn.Words( index).Modified(2) <= '1';
503 10 gerhardhoh
                                    else
504
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
505 20 gerhardhoh
                                           cacheIn.Words( index).Modified(3) <= '1';
506 10 gerhardhoh
                                         end if;
507
                                  end if;
508
                                end if;
509
                         else
510
                           DataOut <= cacheIn.Words( index).Word;
511
                         end if;
512
 
513
                         cacheIn.FiFoAddr <= newFiFoAddr;
514
                         cacheIn.Am <= newAm;
515
 
516
                         getf <= '0';
517
                         writec <= '1';
518 20 gerhardhoh
 
519 27 gerhardhoh
                         if acc = '1' then
520
                           if hi = '1' then
521
                             stateram <= ramwait1;
522
                           else
523
                             doneh <= '1';
524
                             stateram <= ramupdate3;
525
                           end if;
526 20 gerhardhoh
                         end if;
527 10 gerhardhoh
                  when ramupdate3 =>
528
                    hi := '0';
529 20 gerhardhoh
                        acc := '0';
530
                        en := '0';
531
                        writec <= '0';
532 10 gerhardhoh
                    doneh <= '0';
533 20 gerhardhoh
                        stateram <= ramstart;
534 10 gerhardhoh
                  when ramclean =>
535
                    putf <= '0';
536
                    stateram <= ramclean1;
537
                  when ramclean1 =>
538
                         if del /= 15 then
539
                           blockOut <= cacheOut.words;
540 20 gerhardhoh
                           writeb <= tagdummy( del).tagValid;
541
                           AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
542 10 gerhardhoh
                           stateram <= ramflush;
543
                         end if;
544
                  when ramflush =>
545
                    writeb <= '0';
546
                         for i in blockIn'range loop
547
                      cacheIn.Words( i).Word <= ( others => '0');
548 20 gerhardhoh
                          cacheIn.Words( i).Modified <= ( others => '0');
549 10 gerhardhoh
                         end loop;
550
 
551
                         stateram <= ramflush1;
552
                  when ramflush1 =>
553
                         if writesh = '0' then
554 20 gerhardhoh
                           if flag = '1' then
555
                                 tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
556
                                 tagBuff( elim).tagValid <= '1';
557
                                 flag <= '0';
558
                                 if IOCodeh = "111" and ldCachedWords = 0 then
559
                                   stateram <= ramupdate2;
560
                                 else
561
                                   readb <= '1';
562
                                   AddressOut <= AddressInh( AddressOut'range);
563
                                   stateram <= ramread;
564
                                 end if;
565
                           elsif isfull = '1' then
566
                             hi := '0';
567
                                 stateram <= ramstart;
568
                           elsif acc = '1' then
569
                                 doneh <= '1';
570
                             stateram <= ramupdate3;
571
                           end if;
572 10 gerhardhoh
                         end if;
573
                end case;
574
 
575
                accinterrupt <= hi;
576
                enablequeue <= en;
577
                accqueue <= acc;
578
 
579
         f := CacheIn.Am & CacheIn.FiFoAddr;
580
         if writec = '1' then
581
           Ax( to_integer( cindex)) <= f;
582
         else
583
           g := Ax( to_integer( cindex));
584
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
585
                CacheOut.Am <= g( g'high);
586
         end if;
587
 
588
         for i in RAMBuffer'range loop
589
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
590
                if writec = '1' then
591
                  RAMs( i)( to_integer( cindex)) <= a( i);
592
                else
593
                  b( i) := RAMs( i)( to_integer( cindex));
594
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
595
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
596
                end if;
597
         end loop;
598
 
599 11 gerhardhoh
         if putf = '1' then
600
           address := std_ulogic_vector( firstf);
601
                datum := FreeIn;
602
                firstf <= firstf + 1;
603
                counterf <= counterf + 1;
604 10 gerhardhoh
                w := '1';
605
         else
606 11 gerhardhoh
           uaddress := lastf;
607
           if getf = '1' and counterf /= 0 then
608 10 gerhardhoh
             counterf <= counterf - 1;
609 11 gerhardhoh
                  uaddress := uaddress + 1;
610 10 gerhardhoh
           end if;
611 11 gerhardhoh
                lastf <= uaddress;
612 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
613 11 gerhardhoh
                w := '0';
614
         end if;
615 10 gerhardhoh
 
616
         if w = '1' then
617 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
618 10 gerhardhoh
         else
619 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
620
         end if;
621 10 gerhardhoh
 
622
         end if;
623
  end if;
624
  end process dataram;
625
 
626
  emptyf <= '1' when counterf = 0 else '0';
627
 
628
  queues: process( nReset, Clock, enablequeue) is
629
  variable acc, hi: std_ulogic;
630
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
631 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
632
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
633 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
634 11 gerhardhoh
  variable wA1: std_ulogic;
635
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
636
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
637 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
638 11 gerhardhoh
  variable wAm: std_ulogic;
639 10 gerhardhoh
  begin
640
  if rising_edge(Clock) then
641
    if nReset /= '1' then
642
                del <= 15;
643
           statequeue <= queuestart;
644
           queuedone <= '0';
645
                interrupt <= '0';
646
                accdone <= '0';
647
                preempted <= '0';
648 11 gerhardhoh
                firstA1 <= ( others => '0');
649
                A1Outaddr <= ( others => '0');
650
                lastA1 <= ( others => '0');
651 10 gerhardhoh
                counterA1 <= ( others => '0');
652 11 gerhardhoh
                firstAm <= ( others => '0');
653
                AmOutaddr <= ( others => '0');
654
                lastAm <= ( others => '0');
655 10 gerhardhoh
                counterAm <= ( others => '0');
656
                getA1 <= '0'; -- NEW
657
                getAm <= '0'; -- NEW
658
                removeA1 <= '0'; -- NEW
659
                removeAm <= '0'; -- NEW
660
                putA1 <= '0'; -- NEW
661 11 gerhardhoh
                putAm <= '0'; -- NEW
662 20 gerhardhoh
        serviced <= '0';
663 10 gerhardhoh
         else
664 13 gerhardhoh
           hi := interrupt;
665 20 gerhardhoh
           acc := accdone or doneh;
666 10 gerhardhoh
 
667 20 gerhardhoh
           diff := firstA1 - unsigned( RecBuff.FiFoAddr);
668 10 gerhardhoh
 
669
           case statequeue is
670
                  when queuestart =>
671
                         getA1 <= '0';
672
 
673
                    if enablequeue = '1' then
674
                           if found /= 15 then
675
                                  if RecBuff.Am = '1' or                                -- in Am
676
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
677 20 gerhardhoh
                                     queuedone <= '1';
678 10 gerhardhoh
                                         newFiFoAddr <= RecBuff.FiFoAddr;
679
                                         newAm <= RecBuff.Am;
680 20 gerhardhoh
                                 statequeue <= queuewait;
681 10 gerhardhoh
                                  elsif fullAm = '1' then
682
                                    -- Am full
683
                                         if AmOut.valid = '1' then
684
                                           del <= to_integer( AmOut.way);
685 20 gerhardhoh
                                           toFlush <= AmOut.word;
686
                                           getAm <= '1';
687 10 gerhardhoh
                                           hi := '1';
688
                                           statequeue <= queuewait;
689
                                         end if;
690
                                  else
691
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
692 20 gerhardhoh
                                        AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
693
                                        AmIn.valid <= '1';
694
                                        putAm <= '1';
695
                                        A1Inaddr <= RecBuff.FiFoAddr;
696
                                        removeA1 <= '1';
697
                                        statequeue <= queuewaitAm1;
698 10 gerhardhoh
                                  end if;
699
                                elsif free /= 15 then
700 20 gerhardhoh
                                  if fullA1 = '1' or (isfull = '1' and emptyA1 = '0' and serviced = '0') then
701 10 gerhardhoh
                                    -- remove last entry from A1
702
                                         if A1Out.valid = '1' then
703
                                           del <= to_integer( A1Out.way);
704
                                           toFlush <= A1Out.word;
705
                                           getA1 <= '1';
706
                                           hi := '1';
707 20 gerhardhoh
                       serviced <= '1';
708 10 gerhardhoh
                                           statequeue <= queuewait;
709
                                         end if;
710 20 gerhardhoh
                                  elsif emptyAm = '0' and isfull = '1' and serviced = '0' then
711 10 gerhardhoh
                                    -- remove last entry from Am
712
                                         if AmOut.valid = '1' then
713
                                           del <= to_integer( AmOut.way);
714
                                           toFlush <= AmOut.word;
715
                                           getAm <= '1';
716
                                           hi := '1';
717 20 gerhardhoh
                       serviced <= '1';
718 10 gerhardhoh
                                           statequeue <= queuewait;
719
                                         end if;
720
                                  else
721
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
722
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
723
                                         A1In.valid <= '1';
724
                                         putA1 <= '1';
725 20 gerhardhoh
                     serviced <= '0';
726 10 gerhardhoh
                                         statequeue <= queuewaitA11;
727
                                  end if;
728
                                elsif elim /= 15 then
729
                                  if fullA1 = '1' then
730
                                    if A1Out.valid = '1' then
731
                                           if not ( to_integer( A1Out.way) = elim and
732
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
733
                                             del <= to_integer( A1Out.way);
734
                                             toFlush <= A1Out.word;
735
                                             statequeue <= queueelim;
736
                                           end if;
737
 
738
                                           getA1 <= '1';
739
                                         end if;
740
                                  else
741 20 gerhardhoh
                    if getA1 = '1' then
742
                      preempted <= '1';
743
                    end if;
744
                                        getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
745 10 gerhardhoh
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
746 20 gerhardhoh
                                        A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
747
                                        A1In.valid <= '1';
748
                                        putA1 <= '1';
749
                                        statequeue <= queueelim;
750 10 gerhardhoh
                                  end if;
751
                                end if;
752
                         end if;
753
                  when queuewait =>
754 20 gerhardhoh
                        removeA1 <= '0';
755
                        removeAm <= '0';
756 10 gerhardhoh
                    getAm <= '0';
757
                    getA1 <= '0';
758 20 gerhardhoh
                        queuedone <= '0';
759 10 gerhardhoh
 
760 20 gerhardhoh
            if hi = '1' then
761
              hi := '0';
762
                          statequeue <= queuestart;
763
                elsif acc = '1' then
764
                          acc := '0';
765
                          del <= 15;
766
                          statequeue <= queuestart;
767
                        end if;
768 10 gerhardhoh
                  when queuewaitAm1 =>
769
                    putAm <= '0';
770 20 gerhardhoh
                        removeA1 <= '0';
771
                        statequeue <= queuewaitAm2;
772 10 gerhardhoh
                  when queuewaitAm2 =>
773 20 gerhardhoh
                        newFiFoAddr <= AmOutAddr;
774
                        newAm <= '1';
775
                        queuedone <= '1';
776
                        statequeue <= queuewait;
777 10 gerhardhoh
                  when queuewaitA11 =>
778
                    putA1 <= '0';
779 20 gerhardhoh
                        statequeue <= queuewaitA12;
780 10 gerhardhoh
                  when queuewaitA12 =>
781 20 gerhardhoh
                        newFiFoAddr <= A1OutAddr;
782
                        newAm <= '0';
783
                        removeA1 <= '0';
784
                        removeAm <= '0';
785
                        queuedone <= '1';
786 10 gerhardhoh
                    preempted <= '0';
787 20 gerhardhoh
                        statequeue <= queuewait;
788 10 gerhardhoh
                  when queueelim =>
789
                    putA1 <= '0';
790 20 gerhardhoh
                        getA1 <= '0';
791 10 gerhardhoh
 
792 20 gerhardhoh
                        if RecBuff.Am = '1' and preempted = '0' then
793
                          AmInAddr <= RecBuff.FiFoAddr;
794
                          removeAm <= '1';
795
                        elsif preempted = '0' then
796
                          A1InAddr <= RecBuff.FiFoAddr;
797
                          removeA1 <= '1';
798
                        end if;
799 10 gerhardhoh
 
800 20 gerhardhoh
                        if getA1 = '1' then
801
                          hi := '1';
802
                          preempted <= '1';
803
                          statequeue <= queuewait;
804
                        else
805
                          statequeue <= queuewaitA12;
806
                        end if;
807 10 gerhardhoh
                end case;
808
 
809
                interrupt <= hi;
810
                accdone <= acc;
811
 
812 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
813
           if removeA1 = '0' then
814
             addressA1 := std_ulogic_vector( firstA1);
815 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
816 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
817
                  counterA1 <= counterA1 + 1;
818
                  A1Outaddr <= std_ulogic_vector( firstA1);
819
                else
820
                  addressA1 := A1Inaddr( addressA1'range);
821
                  datumA1 := ( others => '0');
822 10 gerhardhoh
                end if;
823 11 gerhardhoh
                wA1 := '1';
824
         else
825
           uaddressA1 := lastA1;
826
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
827
             counterA1 <= counterA1 - 1;
828
             uaddressA1 := uaddressA1 + 1;
829 10 gerhardhoh
           end if;
830
           lastA1 <= uaddressA1;
831
           addressA1 := std_ulogic_vector( uaddressA1);
832 11 gerhardhoh
           wA1 := '0';
833 10 gerhardhoh
         end if;
834
 
835
         if wA1 = '1' then
836 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
837 10 gerhardhoh
         else
838 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
839 10 gerhardhoh
 
840
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
841
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
842
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
843 11 gerhardhoh
         end if;
844 10 gerhardhoh
 
845 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
846
           if removeAm = '0' then
847
             addressAm := std_ulogic_vector( firstAm);
848 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
849 11 gerhardhoh
                  firstAm <= firstAm + 1;
850
                  counterAm <= counterAm + 1;
851
                  AmOutaddr <= std_ulogic_vector( firstAm);
852
                else
853
                  addressAm := AmInaddr( addressAm'range);
854
                  datumAm := ( others => '0');
855 10 gerhardhoh
                end if;
856 11 gerhardhoh
                wAm := '1';
857
         else
858
           uaddressAm := lastAm;
859
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
860
             counterAm <= counterAm - 1;
861
             uaddressAm := uaddressAm + 1;
862 10 gerhardhoh
           end if;
863
           lastAm <= uaddressAm;
864
           addressAm := std_ulogic_vector( uaddressAm);
865 11 gerhardhoh
           wAm := '0';
866 10 gerhardhoh
         end if;
867 11 gerhardhoh
 
868 10 gerhardhoh
         if wAm = '1' then
869 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
870 10 gerhardhoh
         else
871
           AmOutBuff := ramAm( to_integer( addressAm));
872 11 gerhardhoh
 
873 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
874
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
875
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
876
         end if;
877 11 gerhardhoh
         end if;
878 10 gerhardhoh
  end if;
879
  end process queues;
880
 
881
  fullA1 <= counterA1( counterA1'high);
882 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
883 10 gerhardhoh
 
884
  fullAm <= counterAm( counterAm'high);
885 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
886 10 gerhardhoh
 
887 11 gerhardhoh
end Rtl;
888
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.